GESTOR DE ACESSOS COM PIN E TEMPORIZADOR

Tamanho: px
Começar a partir da página:

Download "GESTOR DE ACESSOS COM PIN E TEMPORIZADOR"

Transcrição

1 TRABALHO DE LABORATÓRIO V GESTOR DE ACESSOS COM PIN E TEMPORIZADOR VERSÃO INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito gestor de acessos com pin e temporizador, através do dimensionamento de uma máquina de estados e de um circuito temporizador. Notas preliminares importantes: Este enunciado deverá ser preparado atempadamente por cada aluno separadamente (incluindo as simulações em ambiente Vivado). Uns dias antes da aula de laboratório, os alunos de cada grupo devem reunir-se, conferir as suas respostas, comparar as simulações pedidas e verificar que os resultados são os esperados e corrigir erros caso necessário. A folha de respostas de casa não será avaliada, no entanto deverá ser apresentada ao docente no início da aula. Este é um requisito necessário para se poder participar no laboratório, para o qual uma preparação cuidada do trabalho é indispensável. As simulações devem ter sido efetuadas cuidadosamente e os seus valores confirmados com o esperado teoricamente. Caso surjam dúvidas durante a preparação, os alunos devem recorrer aos horários de dúvidas ou enviar ao docente do turno de laboratório respetivo. No início da sessão de laboratório, será distribuída a cada grupo uma nova folha de perguntas/respostas relativa a um novo projeto a desenvolver de raiz na aula, mas fortemente relacionado com o de casa e de complexidade ligeiramente mais simples. A preparação teórica do novo projeto deve ser executada nos minutos iniciais da aula. É fundamental que ambos os alunos venham devidamente preparados para executar esta preparação com rapidez. O restante tempo da aula será dedicado à implementação do novo projeto em ambiente Vivado (incluindo simulação) e ao teste do sistema modificado na placa Basys3 (FPGA). Para o novo projeto e simulação, os alunos só podem usar os computadores disponíveis nos laboratórios e sendo formalmente proibido o acesso a qualquer dispositivo de memória (pen, HD, etc.), bem como o acesso a ou internet. Os últimos 5 minutos são reservados à escrita das conclusões, sendo a folha de perguntas/respostas da aula entregue no final da aula. Como preparação prévia, deve ser feita uma leitura cuidada dos diversos documentos relativos ao ambiente de simulação Vivado disponíveis na página da cadeira. 1 P á g i n a

2 2. ESPECIFICAÇÕES DO SISTEMA O sistema gestor de acessos só deve permitir o acesso a uma zona restrita às pessoas devidamente credenciadas e dificultar a entrada a outros que, através de tentativa e erro, tentem introduzir sequencialmente códigos aleatórios. Assim, um utilizador válido que conheça o pin de acesso pode introduzi-lo, e caso esteja correto, o acesso será disponibilizado através da abertura do trinco da porta. Nessa altura, um contador é ativado e o no final do tempo de contagem TAcesso o acesso é novamente vedado pelo bloqueio do trinco da porta. Caso haja um erro na introdução do pin, será dada uma segunda hipótese de se efetuar uma nova tentativa. No entanto, ao segundo erro consecutivo, será dado início a uma contagem de tempo TErro durante a qual não será possível aceder à área em questão. Apenas quando esta contagem terminar, haverá a hipótese de se efetuar uma nova tentativa. Este processo (período de tempo TErro sem acesso a cada nova tentativa errada) deve manter-se enquanto não for colocado novamente o pin correto. Só quando o pin correto for introduzido, o acesso disponibilizado e finalizar a contagem TAcesso (tempo de trinco aberto), será possível voltar a ter duas tentativas consecutivas de acesso sem o bloqueio momentâneo por parte do contador. O pin tem apenas 2 dígitos binários, que devem ser introduzidos sequencialmente. Estes dígitos podem tomar os valores ESQ ou DTO, consoante seja pressionado o botão de pressão correspondente na placa de teste Basys3. Em simulação, estes são introduzidos como sinais de entrada externos. Por exemplo, assumindo que o pin correto é ESQ-DTO e partindo do estado inicial de espera: A sequência ESQ-DTO faz com que a porta abra durante TAcesso instantes de tempo, fechando em seguida (o sistema volta ao estado inicial). A sequência ESQ- ESQ- ESQ-DTO idem (um pin de 2 dígitos errado que não bloqueia o sistema, seguida do pin certo). A sequência ESQ-ESQ-DTO-ESQ (2 pins errados) faz com que o sistema fique bloqueado durante TErro instantes de tempo mantendo a porta fechada. Durante estes TErro instantes, o sistema não aceita nenhum código, mesmo se for o correto. Ao fim deste tempo, sempre que se introduzir um código errado (por exemplo DTO-DTO), o sistema volta a esperar TErro instantes de tempo mantendo a porta fechada. Só quando se introduzir novamente o código correto (ESQ-DTO) é que o sistema volta a abrir a porta durante TAcesso instantes de tempo, voltando em seguida ao estado inicial em que a porta está fechada e em que o sistema volta a aceitar duas tentativas de acesso antes de ativar o tempo de espera TErro. As situações em que são carregados os dois botões ESQ e DTO ao mesmo tempo não são consideradas (o sistema mantém o mesmo estado). Para este trabalho considera-se que: 1. A sequência correta é ESQ-DTO (as sequências ESQ- ESQ, DTO-ESQ e DTO-DTO não abrem o trinco). 2. TErro = 9 intervalos de clock 3. TAcesso = 4 intervalos de clock O sistema é constituído por 2 partes: i) uma máquina de estados (circuito de controlo), que gere os vários estados do sistema, e que interage com ii) um circuito temporizador (circuito de dados), 2 P á g i n a

3 constituído por um contador e lógica adicional necessária, e que conta os tempos de espera TErro e TAcesso. Adicionalmente, considera-se o sinal externo de reset SUP, que coloca o sistema no estado inicial (trinco fechado depois de uma abertura bem sucedida). Corresponde, como o nome indica, ao botão de pressão superior da placa Basys3. A máquina de estados tem ainda como entrada adicional o sinal de timeout (TOUT) proveniente do circuito temporizador e que indica que acabaram de contar os tempos TErro e TAcesso. Assim: As entradas da máquina de estados (ME) são os botões ESQ, DTO e SUP e a entrada TOUT proveniente do temporizador. Note-se que a entrada SUP apenas influencia o reset dos elementos de memória, não entrando no fluxograma ou diagrama de estado. As saídas da ME são i) o estado (que liga a um display de 7 segmentos da placa Basys3) e ii) 2 bits de controlo que controlam o circuito temporizador e permitem indicar também quando a porta está aberta (sinalizado pela ativação de um LED na placa Basys3). As entradas do circuito temporizador (CT) são i) botão SUP que faz o reset do contador do CT na inicialização e ii) os 2 bits de controlo provenientes da ME. As saídas do CT são i) o sinal TOUT que entra na ME e ii) a saída do contador ctr_temp do CT que vai para outro display de 7 segmentos da placa Basys3 e que indica o estado da contagem de TErro e TAcesso. 3. PROJETO DO GESTOR DE ENTRADAS Projete o gestor de entradas utilizando uma máquina de Moore, de forma a cumprir as especificações referidas no ponto anterior. Utilize uma estratégia de microprogramação implícita, baseada num contador de 4 bits para a implementação da máquina de estados de acordo com o esquema da figura 1: SUP reset G3 (ce) M1 [load] M2 [count] CTR 16 ROM data(0) MUX 2:1 G1 0 1 TOUT ESQ + DTO clk C5 / 2,3+ 3 data(3:1) 1,5D [1] 4 [2] 4 4 addr(3:0) data(7:4) [4] [8] data(9:8) temporizador ESQ DTO ESQ DTO TOUT MUX 8:1 G(3:0) Figura 1 3 P á g i n a

4 Na implementação em VHDL, a máquina de estados e o circuito temporizador estão parcialmente descritos no ficheiro code_mgr.vhd disponível na página da cadeira (cada grupo deverá completar a descrição do circuito temporizador, embora a estrutura principal da ME já esteja feita), juntamente com outros ficheiros necessários. A descrição da ROM está no ficheiro my_mem.vhd também fornecido, devendo o seu conteúdo ser dimensionado por cada grupo durante a preparação do laboratório. Responda às perguntas da folha de respostas, nomeadamente: Complete o fluxograma da máquina de estados fornecido na folha de respostas de casa, indicando i) as ligações entre testes e estados em falta, ii) os valores das entradas de teste em falta associados a cada ramo e iii) se a operação executada no contador da ME é DISABLE (D), COUNT (C) ou LOAD (L). Caso entenda, pode fazer antes um diagrama de estados e/ou uma tabela de transição de estados. Note, que em algumas situações pode ser necessário colocar no fluxograma estados adicionais devido à estrutura implícita da microprogramação. A numeração dos estados está em formato hexadecimal. Notas importantes: i) sempre que os botões DTO e ESQ forem pressionados simultaneamente, o sistema deve permanecer no mesmo estado; ii) deve haver especial cuidado de forma a evitar que o sistema salte para estados indesejáveis ou impossíveis (ou seja, deve-se verificar que todas as combinações das entradas estão contempladas em cada teste do fluxograma). Preencha a tabela fornecida na folha de respostas de casa com o conteúdo da ROM, tendo em conta o fluxograma anterior e a estrutura da ME. Use apenas as linhas que precisar. Apresente uma breve descrição do circuito temporizador, nomeadamente como são calculados os vários sinais de entrada do contador do CT e o valor de TOUT, em função dos bits data(9:8) da ROM (ver figura 1). Indique o valor do sinal de saída door_open que indica que a porta está aberta em função dos bits da ROM data(9:8). Complete o circuito projetado em VHDL criando um novo projeto, ao qual deverão ser adicionados os ficheiros ctr_16.vhd e ff_de.vhd (contador de 4 bits e FF tipo D), bem como o ficheiro principal code_mgr.vhd e a descrição da ROM no ficheiro my_mem.vhd, que estão disponíveis na diretoria compactada lab5_src.zip na página da cadeira. Execute o ficheiro de simulação (testbench) tb_code_mgr.vhd (também disponível em lab5.zip ), e verifique o correto funcionamento do circuito dimensionado. Tome nota: durante a aula de laboratório, será pedido para dimensionarem um novo circuito, através da modificação do conteúdo da ROM e do circuito temporizador, cujo funcionamento depois deverá ser confirmado por simulação e/ou implementação na placa. 4. IMPLEMENTAÇÃO NA PLACA DE DESENVOLVIMENTO Nota importante: Antes de iniciar o teste do circuito é fundamental consultar (em casa) o Guia de Implementação de Circuitos na Placa de Desenvolvimento (Digilent Basys 3), disponível na página da cadeira. Para realizar o teste do circuito projetado utilizando a placa de prototipagem (Digilent Basys 3, equipada com a FPGA Artix-7 com referência XC7A35T-CPG236, da Xilinx ver Figura 14), foi disponibilizado um conjunto de ficheiros na pasta placa (veja no guia da placa a descrição dos componentes), que deverá utilizar nesta parte do trabalho: 4 P á g i n a

5 sd.vhd descrição do circuito principal (da placa) Basys3_Master.xdc configuração dos portos (da placa) clkdiv.vhd divisor de frequência (especificação) disp7.vhd bloco do controlo do display de 7 segmentos (especificação). Não modifique os nomes destes ficheiros! Figura 1. Placa de prototipagem Basys 3. 1) Na aula será disponibilizado um projeto Vivado com todos os ficheiros completos, menos os ficheiros code_mgr.vhd e my_mem.vhd, que deverão ser completados por cada grupo de acordo com o novo dimensionamento a efetuar no início da aula, mas sem modificar os nomes das entradas e saídas definidos por defeito. 2) Na folha de respostas da aula, cada grupo deve detalhar o dimensionamento do novo projeto de forma clara e que evite erros na passagem para a descrição vhdl do circuito projetado. 3) Verifique se o ficheiro sd.vhd está definido como módulo de topo (faça clique direito no ficheiro e selecione a opção Set as Top ). Verifique também se a hierarquia do projeto inclui os componentes clkdiv, disp7 e Basys3_Master.xdc. 4) As seguintes ligações foram estabelecidas de forma a possibilitar a correta interação do utilizador com o circuito: a. O sinal de relógio clk está ligado ao sinal clk_slow (este sinal tem uma frequência fixa de 1,5 Hz); b. A entrada SUP está ligada ao buffer do botão de pressão BTN(0), i.e., o botão superior; c. O sinal de entrada ESQ está ligado ao buffer do botão de pressão BTN(1), i.e., o botão esquerdo; d. O sinal de entrada DTO está ligado ao buffer do botão de pressão BTN(2), i.e., o botão direito; e. O estado da máquina de estados state_me é apresentado no dígito 4 do display de 7 segmentos em formato hexadecimal, i.e., disp4; f. A saída do temporizador ctr_temp é apresentada no dígito 1 do display de 7 segmentos em formato hexadecimal, disp1. 5) Implemente o circuito na placa de desenvolvimento. Para tal, siga as instruções disponibilizadas no "Guia de Implementação de Circuitos na Placa de Desenvolvimento". Note que o interruptor ON/OFF da placa deve estar na posição ON. 5 P á g i n a

6 Nota: durante a síntese do circuito, a ferramenta poderá indicar um conjunto de avisos (warnings) e erros. Os erros deverão ser todos corrigidos; os warnings podem, em geral, ser ignorados, sendo que alguns são originados pelo facto de ter entradas/saídas no ar. 6) Verifique o correto funcionamento do circuito. Mostre-o ao docente. Comente os resultados obtidos na folha de respostas. 6 P á g i n a

SISTEMA DE GESTÃO DE TELEFONE

SISTEMA DE GESTÃO DE TELEFONE TRABALHO DE LABORATÓRIO IV SISTEMA DE GESTÃO DE TELEFONE VERSÃO 1.0 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos analisem e projetem um circuito de gestão de um telefone, através do dimensionamento

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V

MICROPROGRAMAÇÃO 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V TRABALHO DE LABORATÓRIO V MICROPROGRAMAÇÃO 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com as técnicas de implementação de máquinas de estados através de microprogramação

Leia mais

CONTROLO DE SEMÁFOROS

CONTROLO DE SEMÁFOROS TRABALHO DE LABORATÓRIO IV CONTROLO DE SEMÁFOROS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para avaliação

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS

TRABALHO DE LABORATÓRIO I 1. INTRODUÇÃO SISTEMAS DIGITAIS , MEFT/MEAER FUNÇÕES COMBINATÓRIAS TRABALHO DE LABORATÓRIO I FUNÇÕES COMBINATÓRIAS VERSÃO 1.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de funções combinatórias, utilizando

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC

TRABALHO DE LABORATÓRIO V 1. INTRODUÇÃO 2. DESCRIÇÃO DO PROBLEMA PASSAGEM DE UM NAVIO NUMA SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO V MÁQUINA DE ESTADOS VERSÃO 2.0 1. INTRODUÇÃO Pretende-se que os alunos compreendam e apliquem a metodologia usada na síntese e concretização de sistemas controlados por máquinas

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos:

Considere o projecto de um circuito capaz de contar o número de produtos num cesto de compras composto por um ou mais dos seguintes produtos: 013-01, MEEC TRABALHO DE LABORATÓRIO IV CIRCUITO DETECTOR DE SEQUÊNCIA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um circuito digital de complexidade moderada. No início da aula

Leia mais

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS

1. INTRODUÇÃO 2. ANÁLISE TEÓRICA DE UM CIRCUITO SEQUENCIAL BÁSICO SISTEMAS DIGITAIS , MEEC TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projecto e simulação

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER

1. INTRODUÇÃO 1. ESPECIFICAÇÃO DO CONTROLADOR DE MÁQUINA DE SISTEMAS DIGITAIS , MEFT/MEAER TRABALHO DE LABORATÓRIO V PROJECTO DE UM CONTROLADOR DE MÁQUINA DE VENDA DE BEBIDAS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 5 Circuitos Sequenciais Síncronos 1. Introdução Este trabalho foi concebido para que os alunos familiarizem com a utilização e projeto de Circuitos

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

TRABALHO DE LABORATÓRIO 0

TRABALHO DE LABORATÓRIO 0 TRABALHO DE LABORATÓRIO INTRODUÇÃO AO LABORATÓRIO NOTAS IMPORTANTES A TER EM CONTA ANTES DA AULA: Cada grupo deve ler com atenção, imprimir este enunciado e levá-lo para a aula. Em particular, a parte

Leia mais

2 a Lista de Exercícios

2 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2011/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A.

1. INTRODUÇÃO 2. CIRCUITO SEQUENCIAL BÁSICO (SEMANA 1) SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS A. TRABALHO DE LABORATÓRIO III CIRCUITO EQUCIAI 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops) e ainda com projeto e simulação de

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012

Laboratório 3 RELATÓRIO. Identificação dos Alunos: Nome:Gonçalo Santos Número: Nome:Bernardo Bastos Número: 84012 SISTEMAS DIGITAIS Laboratório 3 RELATÓRIO Identificação dos Alunos: Nome:Gonçalo Santos Número:84070 Nome:Bernardo Bastos Número: 84012 Turno de Laboratório: SD4517L05 Grupo: 73 Sala do Laboratório: LSD1

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 4 Módulos Sequenciais: Contadores e Registos 1. Introdução Este trabalho foi concebido para que os alunos ganhem experiência na concepção de

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2015/2016 Trabalho 3 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 2 Circuitos Combinatórios Típicos 1. Introdução Este trabalho foi concebido para que os alunos façam a concepção de um circuito lógico usando

Leia mais

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30

SISTEMAS DIGITAIS MEEC de Fevereiro de 2017, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 9 perguntas, distribuídas por 2 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:,

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9).

1. Número total de etapas em falta (excetuando a atual) até completar a receita (o número máximo de etapas em falta é 9). TRABALHO DE LABORATÓRIO L5/L6 ROBOT DE COZINHA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projetem um circuito que simula o funcionamento de um robot de cozinha, composto por um conjunto

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

LABORG. VHDL Projeto cronômetro

LABORG. VHDL Projeto cronômetro LABORG VHDL Projeto cronômetro Enunciado Sumário Diagrama de blocos 2 Enunciado 1. Implemente o hardware para cronômetro de basquete 2. Especificação do cronômetro: Jogo composto de 4 quartos de 15 minutos.

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Arquitetura de Sistemas Operativos

Arquitetura de Sistemas Operativos Arquitetura de Sistemas Operativos Sistemas Operativos 2011/2012 1 Requisitos de uma Secção Crítica Requisitos de uma Secção Crítica Antes de analisarmos as várias soluções para assegurar que um bloco

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

MANUAL DO KIT FPGA EE02-SOQ

MANUAL DO KIT FPGA EE02-SOQ EMERSON ELETRÔNICOS MANUAL DO KIT FPGA EE02-SOQ www.professoremersonmartins.com.br 2014 REV-01 MANUAL DE UTILIZAÇÃO DO KIT FPGA EE02_SOQ Primeiramente a Emerson Eletrônicos, em nome do professor Emerson

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA AULA 17 - Nível de Transferência entre Registradores RTL. Livro texto, pág.242 a 276 e apostila de fluxo de dados. 1. Introdução:.Continuação projeto RTL. Exemplo 5.2: Medidor de distância baseado em raio

Leia mais

GESTÃO DE TURMAS. Índice. I Como posso promover a aprendizagem autónoma dos meus alunos através da plataforma? 05

GESTÃO DE TURMAS. Índice. I Como posso promover a aprendizagem autónoma dos meus alunos através da plataforma? 05 GESTÃO DE TURMAS Índice I Como posso promover a aprendizagem autónoma dos meus alunos através da plataforma? 05 1 Atribuir trabalhos aos alunos 05 1.1 Atribuir um trabalho a uma turma 05 1.2 Visualizar

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais

Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Tecnologia digital Trabalho nº 3 Miniprojeto de contadores digitais Introdução: A capacidade de contar é uma operação fundamental em eletrónica digital. Um contador fornece uma saída binária igual ao número

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

Manual do Utilizador Brother Meter Read Tool

Manual do Utilizador Brother Meter Read Tool Manual do Utilizador Brother Meter Read Tool POR Versão 0 Direitos de autor Direitos de autor 2017 Brother Industries, Ltd. Todos os direitos reservados. As informações contidas neste documento estão sujeitas

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

EXPERIÊNCIA 4: IMPLEMENTAÇÃO DE UM CRONÔMETRO

EXPERIÊNCIA 4: IMPLEMENTAÇÃO DE UM CRONÔMETRO EXPERIÊNCIA 4: IMPLEMENTAÇÃO DE UM CRONÔMETRO Autores: Prof. Dr. André Riyuiti Hirakawa, Prof. Dr. Carlos Eduardo Cugnasca e Prof. Dr. Paulo Sérgio Cugnasca Versão 1.0-05/2005 1. OBJETIVO Esta experiência

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

Atualizar o Firmware para Câmaras Selecionadas com Suporte a Dados de Localização

Atualizar o Firmware para Câmaras Selecionadas com Suporte a Dados de Localização Atualizar o Firmware para Câmaras Selecionadas com Suporte a Dados de Localização Obrigado por ter escolhido um produto Nikon. Este guia descreve como atualizar o firmware das seguintes câmaras com suporte

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

Letreiro Digital. Modelo I7014B. Manual do Usuário

Letreiro Digital. Modelo I7014B. Manual do Usuário Letreiro Digital Modelo I7014B Índice Aplicativos... 1 Iniciando... 1 Ligar, Desligar e Hibernar... 2 Mensagens... 3 Ferramentas e Recursos... 3 Data/Hora... 5 Ferramentas e Recursos... 5 Agendamento...

Leia mais

Verificador de Senhas

Verificador de Senhas Verificador de Senhas Versão 2012 RESUM Esta experiência consiste no projeto e na implementação de um circuito de controle digital para verificação de códigos de segurança. procedimento de operação deste

Leia mais

Manual de Instalação do Plugin de Assinatura Digital para Windows 7, 8, 10, XP e Vista

Manual de Instalação do Plugin de Assinatura Digital para Windows 7, 8, 10, XP e Vista Manual de Instalação do Plugin de Assinatura Digital para Windows 7, 8, 10, XP e Vista Índice 1. Pré-requisitos... 3 2. Instalação do Plugin de Assinatura Digital... 7 2.1. Passo 1: Instalação do Plugin...

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

COMO INSTALAR O CATÁLOGO

COMO INSTALAR O CATÁLOGO Este guia tem por finalidade detalhar as etapas de instalação do catálogo e assume que o arquivo de instalação já foi baixado de nosso site. Caso não tenho sido feita a etapa anterior favor consultar o

Leia mais

Guião do trabalho prático TP7

Guião do trabalho prático TP7 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Disciplina Automação Ramos Energia e Automação Guião do trabalho prático TP7 Projecto de Porta Automática baseado em Autómato Programável

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 10: Contadores TOCCI, Sistemas Digitais, Sec. 7.1-7.10 http://sites.google.com/site/eletdigi/ Contadores Contadores são formados por FF que acionados

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 1 e tem a duração de 1h3m. iii. O exame contempla todas

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

LABORATÓRIO DE ARQUITETURA DE COMPUTADORES PREPARAÇÃO 02: DISPLAY DE 7 SEGMENTOS MICROCONTROLADO

LABORATÓRIO DE ARQUITETURA DE COMPUTADORES PREPARAÇÃO 02: DISPLAY DE 7 SEGMENTOS MICROCONTROLADO AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE ARQUITETURA DE COMPUTADORES Prof. Sérgio

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

[BASE LEGAL] Parágrafo 2º do artigo 4º da Portaria CAT 147/2012.

[BASE LEGAL] Parágrafo 2º do artigo 4º da Portaria CAT 147/2012. A Secretaria da Fazenda do Estado de SP permite que seja desativado o SAT em uso por uma loja para que seja ativado em outra loja, em outro CNPJ. [BASE LEGAL] Parágrafo 2º do artigo 4º da Portaria CAT

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica

SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica LEFT, LEA, LEE SISTEMAS DIGITAIS 5º Trabalho de laboratório Projecto de uma Fechadura Electrónica Objectivo: Pretende-se com este trabalho que os alunos projectem um ircuito Sequencial Síncrono, que concretize

Leia mais

Índice MANUAL DE UTILIZAÇÃO BALCÃO DIGITAL CGI

Índice MANUAL DE UTILIZAÇÃO BALCÃO DIGITAL CGI Índice 1. Requisitos que devem ser cumpridos para a correta utilização das funcionalidades do Balcão Digital... 2 2. Procedimentos inerentes à correta utilização do Balcão Digital... 3 3. Funcionalidades

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais