EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

Tamanho: px
Começar a partir da página:

Download "EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL"

Transcrição

1 Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos da descrição de circuitos sequenciais, de máquinas de estados e outros recursos da linguagem VHDL. 1. DESCRIÇÃO DE CONTADORES Um contador é um circuito sequencial muito utilizado em projetos digitais. Apesar de ser simples, é um circuito interessante para mostrar recursos importantes da linguagem de descrição de hardware VHDL. O trecho de código abaixo mostra um contador simples, onde a saída segue uma contagem crescente. Figura 1.1 Descrição VHDL de um contador. O contador da descrição acima é um contador de 4 bits com reset assíncrono e sinal de enable da contagem. A implementação em VHDL usa um processo que usa uma variável contagem do tipo integer. Na ativação do sinal reset, a contagem é zerada e, na borda de subida do clock, se enable estiver ativado, a contagem é incrementada. Como a saída q tem tipo std_logic_vector, é usada a função de conversão de tipos conv_std_logic_vector para converter a contagem inteira em um vetor de bits. Na linha 15, a definição da variável contagem faz com que seja criado internamente um elemento com 4 bits para armazenar o valor da contagem atual. Para uso da função de conversão de tipos é necessário a inclusão da biblioteca ieee.std_logic_arith. Contadores em VHDL (2012) 1

2 1.1. Displays de sete segmentos Para a verificação do funcionamento do contador, a saída q pode então ser mapeada um 4 leds em um placa de desenvolvimento de circuitos com FPGA. Normalmente, estas placas de desenvolvimento dispõem também de displays de sete segmentos. Para usar estes displays é necessário que a saída binária do contador seja convertida para o código de sete segmentos (figura 1.2). Figura 1.2 Display de 7 segmentos e alguns exemplos (fonte: [Wakerly, 2006]). O display de sete segmentos é composto por sete leds dispostos espacialmente como mostrado na figura 1.2 e identificados de a até g. Quando, por exemplo, o dígito 1 deve ser apresentado, os segmentos b e c devem ser ativados, então o código equivalente ao dígito 1 é (abcdefg). Na placa DE2, os sinais de controle dos leds nos displays de sete segmentos são ativos em baixo. Desta forma, para o dígito 1, o código de sete segmentos correspondente é A figura 1.3 apresenta uma descrição VHDL de um conversor de códigos. Figura 1.3 Descrição VHDL de um conversor para código de sete segmentos. Na placa de desenvolvimento DE2 da Altera, temos 8 displays de sete segmentos, identificados como HEX0 até HEX7. Cada segmento dos displays tem seu sinal assinalado em certos pinos da FPGA da placa. Por exemplo, os leds do display HEX0 estão mapeados conforme a tabela 1. Contadores em VHDL (2012) 2

3 Tabela 1 Mapeamento dos leds do display HEX0 da placa Altera DE2. Fonte: [Altera, 2008] Segmento Identificação Pino a HEX0[0] PIN_AF10 b HEX0[1] PIN_AB12 c HEX0[2] PIN_AC12 d HEX0[3] PIN_AD11 e HEX0[4] PIN_AE11 f HEX0[5] PIN_V14 g HEX0[6] PIN_V13 Assim, caso se deseje apresentar a saída do contador binário da figura 1.1 em um dos displays de sete segmentos, basta conectar o conversor da figura 1.3, conforme a figura 1.4 abaixo. Figura 1.4 Composição do contador binário e conversor para display de sete segmentos. A descrição VHDL correspondente à figura 1.4 é apresentada abaixo (figura 1.5). Nela, são usadas como componentes as entidades contador e conv_bin_7seg. Figura 1.5 Descrição VHDL do circuito com um contador binário e um conversor para display de sete segmentos. Contadores em VHDL (2012) 3

4 1.2. Máquina de estados Uma alternativa para a descrição de contadores é através de uma máquina de estados. O contador binário de 4 bits tem 16 estados (E0 até E15) em um diagrama de transição de estados circular (figura 1.6). E15 E0 E1 E14 E2 E13 E3 E12 E4 E11 E5 E10 E6 E9 E8 E7 Figura 1.6 Diagrama de transição de estados de um contador módulo 16. A figura 1.7 apresenta a descrição VHDL do contador binário com uma máquina de estados, usando três processos 1 : o primeiro processo verifica o sinal reset e muda o estado na borda de subida do clock. O segundo processo identifica o próximo estado e o terceiro especifica a saída do circuito para cada estado (máquina de Moore). Embora esta descrição seja mais longa que a descrição da figura 1.1, o uso de uma máquina de estados permite uma flexibilidade maior: por exemplo, com a mesma sequência de estados, é possível mudar os valores apresentados na saída do circuito. Para isto, basta modificar as linhas de código referentes ao processo saidas. Por exemplo, com poucas modificações é possível desenvolver a descrição de um contador módulo 16 com saídas em código Gray Funções em VHDL O uso da descrição de recursos em nível estrutural permite identificar componentes que são reutilizados em vários pontos de um sistema digital. Um exemplo simples de casos de componentes reutilizados é o conversor de código binário para o código de 7 segmentos, que permite mostrar um valor binário em displays de sete segmentos. Uma alternativa é usar recursos de linguagem na modelagem do sistema digital que permitem caracterizar estes módulos como um bloco separado da descrição do circuito, conhecidos genericamente como subprogramas. Em VHDL são disponíveis as funções e os procedimentos. Estes recursos da linguagem de descrição de hardware têm conceitos muito semelhantes aos seus correspondentes das linguagens de programação, conforme tabela 2 abaixo. Embora seja um bloco separado de código, ao contrário dos conceitos similares de software que levam a uma diminuição do tamanho total do código executável gerado, o uso destes recursos de linguagem de descrição de hardware não geram um circuito menor, pois cada chamada leva a criação de uma nova unidade funcional no circuito sintetizado. Podemos dizer que uma das vantagens no uso de funções e procedimentos é melhorar a legibilidade e entendimento da descrição VHDL. Uma descrição mais detalhada sobre funções e procedimentos em VHDL pode ser encontrada em [d Amore 2012] e [Rushton, 2011]. 1 É possível implementar uma máquina de estados em VHDL usando três processos, dois processos ou apenas 1 único processo. Para mais informações consulte a referência [Rushton, 2011]. Contadores em VHDL (2012) 4

5 Figura 1.7 Contador binário descrito com uma máquina de estados. Contadores em VHDL (2012) 5

6 Tabela 2 Algumas características de funções e procedimentos em VHDL. Características Função Procedimento retorno de valor retorna um valor através do comando return parâmetros comandos conceito sobrecarga lista de parâmetros deve usar modo in obrigatoriamente generalização de uma expressão (pode ser usado dentro de um comando) contém comandos sequenciais pode retornar vários valores através dos parâmetros passados ao procedimento parâmetros podem possuir modos in, inout ou out (valores de retorno usam modo out ou inout) generalização de um comando (pode ser considerado como um bloco de comandos) é possível criar vários subprogramas com mesmo nome, mas com lista de parâmetros e valores de retorno diferentes A figura 1.8 apresenta um exemplo de uso de funções em uma descrição VHDL. A função conv_7seg é definida entre as linhas 18 e 41: possui apenas um parâmetro de entrada (vetor d de 4 bits) e retorna um vetor de bits (std_logic_vector). Na linha 20 é definida a varíavel saida que será usada para determinar o valor a ser retornado. A seleção do valor de retorno usa o comando sequencial case (linhas 22 a 39). O comando return é usado somente uma vez (linha 40). A linha 47 contém a chamada à função conv_7seg dentro do comando de atribuição. Figura 1.8 Contador binário descrito usando função em VHDL. Contadores em VHDL (2012) 6

7 2. PARTE EXPERIMENTAL A parte experimental desta experiência diz respeito à implementação de circuitos contadores em linguagem VHDL. Nesta experiência será usado o dispositivo lógico programável Altera Cyclone II EP2C35F672C6 da placa de desenvolvimento DE Atividades Pré-Laboratório Antes do projeto dos circuitos da experiência, é importante a experimentação dos conceitos apresentados na seção 1. Desta forma deve-se: a) Para cada um dos circuitos apresentados (contador binário, conversor para código de 7 segmentos, contador binário com saída para display de sete segmentos, contador com máquina de estados), estude cada uma das descrições VHDL e escreva uma descrição das linhas de código. Por exemplo, no contador binário crescente: As linhas 6 a 9 apresentam a definição da entidade. São definidos três sinais de entrada (clock, reset e enable com 1 bit) e 1 sinal de saída (q com 4 bits). O tipo destes quatro sinais de entrada e saída é std_logic. b) A seguir, digite o código VHDL de cada exemplo, crie um projeto no Quartus II e execute simulações para verificar seu funcionamento. c) Anexe as formas de onda no planejamento Contador bidirecional O primeiro circuito a ser desenvolvido é um contador bidirecional de 4 bits. Baseado no valor do sinal sentido, o valor da contagem deve ser crescente (sentido=0) ou decrescente (sentido=1). A saída do circuito deve ser monitorado em leds. Considere a seguinte definição de entidade: entity contador_updown is port (clock, reset, enable : in std_logic; sentido : in std_logic; saida : out std_logic_vector (3 downto 0)); end contador_updown; A contagem também é controlada pelo sinal enable (contagem muda quando enable=1). A interface do circuito é mostrada na figura 2.1. Figura 2.1 Contador bidirecional. d) Desenvolva o código VHDL e documente-o com uma descrição do código fonte e formas de onda das simulações do seu funcionamento. e) Considere a seguinte designação de pinos: clock : botão KEY3 reset : chave SW2 sentido : chave SW1 enable : chave SW0 saida[0..3] : leds verdes LEDG0 a LEDG3 DICA: lembrem-se que os botões na placa DE2 são ativos em baixo. O projeto deve levar isto em consideração. Use a tabela de designação de pinos da placa DE2. f) Teste o circuito projetado na placa de desenvolvimento. Que sinais de depuração adicionais podem ser usados para validar o circuito? Contadores em VHDL (2012) 7

8 2.3. Contador em Código Gray com saída em Display de 7 Segmentos O segundo circuito a ser projetado é um contador bidirecional em código Gray com saídas em displays de sete segmentos. Usando uma descrição estrutural, implemente o circuito em VHDL com a interface abaixo. entity contgray7seg is port (clock, reset, enable, sentido : in std_logic; saidabin : out std_logic_vector (6 downto 0); saidagray : out std_logic_vector (6 downto 0)); end contgray7seg; Projete inicialmente o bloco conversor-binario-gray para a conversão de código binário para código Gray, conforme a seguinte interface. entity conv_bin_gray is port (d : in std_logic_vector (3 downto 0); q : out std_logic_vector (3 downto 0)); end conv_bin_gray; Em seguida, complete a descrição com a conexão dos componentes segunda a estrutura apresentada na figura 2.2. Figura 2.2 Estrutura do contador em código Gray com saídas em display de 7 segmentos. g) Desenvolva o código VHDL e documente-o com uma descrição do código fonte e formas de onda das simulações do seu funcionamento. h) Considere a seguinte designação de pinos: clock : botão KEY3 reset : chave SW2 sentido : chave SW1 enable : chave SW0 saidagray : display HEX0 saidabin : display HEX1 i) Teste o circuito projetado na placa de desenvolvimento. Que sinais de depuração adicionais podem ser usados para validar o circuito? PERGUNTAS: 1. Compare a forma de conexão de componentes em um diagrama esquemático (forma gráfica) e uma descrição estrutural em VHDL. Contadores em VHDL (2012) 8

9 2.4. Contador em Código de Petherick com Máquina de Estados O terceiro circuito a ser projetado é um contador em código de Petherick usando uma máquina de estados. A interface deste contador é definida abaixo. entity contpetherickme is port (clock, reset, enable : in std_logic; saidabin : out std_logic_vector (6 downto 0); saida : out std_logic_vector (6 downto 0)); end contpetherickme; Temos duas saídas do circuito: uma saída da contagem binária saidabin e uma saída com a contagem em código de Petherick saida. Ambas as saídas devem ser conectadas em displays de sete segmentos. Use uma descrição estrutural conforme a figura 2.3. Figura 2.3 Estrutura do contador em código de Petherick. j) Desenvolva o código VHDL do circuito. Documente o projeto com uma descrição do código fonte e formas de onda das simulações. k) Considere a seguinte designação de pinos: clock : botão KEY3 reset : chave SW2 sentido : chave SW1 enable : chave SW0 saida : display HEX0 saidabin : display HEX1 l) Teste o circuito projetado na placa de desenvolvimento. Que sinais de depuração adicionais podem ser usados para validar o circuito? PERGUNTAS: 2. Compare a estratégia de projeto do contador em código de Petherick com componentes TTL e usando uma linguagem de descrição de hardware. Cite duas vantagens da linguagem VHDL. 3. Que modificações seriam necessárias no projeto para usar o recurso de funções para a conversão das saídas para os displays de sete segmentos? Mostre a descrição VHDL. 4. Mostre como este projeto poderia ser adaptado para uma contagem em outro código binário. Exemplifique. Contadores em VHDL (2012) 9

10 2.5. Modificação em Circuito Uma pequena modificação ou adaptação de um dos projetos será solicitada aos alunos. Esta modificação deverá ser implementada em bancada. A descrição e a documentação desta modificação devem ser incluídas no relatório. 3. BIBLIOGRAFIA 1. ALTERA. DE2 Development and education board user manual Version ALTERA. Quartus II Introduction Using VHDL Designs. University Program Disponível em: ftp://ftp.altera.com/up/pub/altera_material/9.1/tutorials/vhdl/quartus_ii_introduction.pdf 3. D AMORE, R. VHDL - Descrição e síntese de circuitos digitais. 2ª edição, LTC, MIDORIKAWA, E.T. Introdução às Linguagens de Descrição de Hardware. Apostila de PCS2304, Escola Politécnica da USP, MIDORIKAWA, E.T. Contador em Código de Petherick. Apostila de Laboratório Digital, RANZINI, E.; HORTA, E. L. Introdução aos Dispositivos Lógicos Programáveis. Apostila de Laboratório Digital. Escola Politécnica da USP, 2000 (revisão em 2011). 7. RUSHTON, A. VHDL for logic synthesis. 3 rd edition, Wiley, TOCCI, R. J.; WIDMER, N. S.; MOSS, G. L. Digital Systems: principles and applications. 11 th ed., Prentice-Hall, EQUIPAMENTOS NECESSÁRIOS 1 placa de desenvolvimento FPGA DE2 da Altera com o dispositivo Altera Cyclone II EP2C35F672C6. 1 computador PC com programa Altera Quartus II e interface USB. Histórico de Revisões E.T.M./2012 versão inicial. Contadores em VHDL (2012) 10

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Circuito Hierárquico

Circuito Hierárquico Circuito Hierárquico Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital de forma hierárquico. Uma hierarquia de módulos compõe o projeto, onde cada módulo

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2015 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar Sistema de Radar Versão 2015 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a detecção de objetos próximo com um sensor ultrassônico de distância e um servo-motor. A implementação

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

MELHORAMENTO NO PROCESSADOR SIMPLES

MELHORAMENTO NO PROCESSADOR SIMPLES MELHORAMENTO NO PROCESSADOR SIMPLES Versão 2014 RESUMO Esta experiência tem como objetivo o desenvolvimento de um melhoramento no projeto de um núcleo de um processador simples. Na parte experimental este

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Circuito de Aritmética Decimal

Circuito de Aritmética Decimal Circuito de Aritmética Decimal Versão 2017 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits. Circuitos aritméticos integrados, como

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2014 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

Verificador de Senhas

Verificador de Senhas Verificador de Senhas Versão 2012 RESUM Esta experiência consiste no projeto e na implementação de um circuito de controle digital para verificação de códigos de segurança. procedimento de operação deste

Leia mais

Desenvolvimento de um Circuito Complexo

Desenvolvimento de um Circuito Complexo Desenvolvimento de um Circuito Complexo Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital complexo ou não trivial. Para isto será estudada uma metodologia

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2013 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS E.T.M./2006 E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptação) E.T.M. e M.D.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Sistema de Aquisição de Dados

Sistema de Aquisição de Dados Sistema de Aquisição de Dados E.T.M./2012 (versão inicial) RESUMO Nesta experiência será desenvolvido o projeto de um sistema de aquisição e armazenamento de dados analógicos em formato digital. O sinal

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Projeto de Circuitos Aritméticos

Projeto de Circuitos Aritméticos Projeto de Circuitos Aritméticos E.T.M./2011 (adaptação) E.T.M./2012 (revisão) RESUMO Nesta experiência será desenvolvido um circuito aritmético usando a metodologia estruturada apresentada nas experiências

Leia mais

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Prof. Alan Petrônio Pinheiro - 2011 Introdução VHDL é uma linguagem de descrição de hardware Hardware Description

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2011 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

Introdução ao Altera DE2. Edson Midorikawa

Introdução ao Altera DE2. Edson Midorikawa Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2014 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento

Leia mais

Lab Verilog Chaves, LEDs e Multiplexadores

Lab Verilog Chaves, LEDs e Multiplexadores MINISTÉRIO DA EDUCAÇÃO UTFPR UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ CAMPO MOURÃO Lab. 01 - Verilog Chaves, LEDs e Multiplexadores Projetos de Sistemas Integrados Prof. Roberto Ribeiro Neli Neste laboratório

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2014 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

Familiarização e Interface com Modem

Familiarização e Interface com Modem Loop-back Full-duplex EPUSP PCS 3645 Laboratório Digital II RESUMO O objetivo desta experiência é a familiarização e p projeto de um circuito de comunicação com MODEMs. Nesta experiência será analisado

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Dispositivos Lógicos Programáveis Semestre: 2011/1 Turma: 1880331A Carga horária: 120 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A tecnologia de Dispositivos

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2007 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2 Introdução ao Projeto de Circuitos com Altera DE2 Edson Midorikawa Setembro/2012 Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência

Leia mais

Via de Dados com ULA

Via de Dados com ULA Via de Dados com ULA Versão 2014 RESUMO Esta experiência tem como objetivo introduzir o conceito de via de dados, que é largamente empregado na implementação de sistemas computacionais, com a familiarização

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais