Projecto de Sistemas Digitais. Trabalho Prático 2

Tamanho: px
Começar a partir da página:

Download "Projecto de Sistemas Digitais. Trabalho Prático 2"

Transcrição

1 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Projecto de Sistemas Digitais 2007/08 1 o semestre 4 o Ano Trabalho Prático 2 Controlo de brilho para sistema de processamento de imagem Objectivo Implementação e ensaio de um sistema digital para controlar o brilho de uma imagem de vídeo em tempo real. Pág. 1 de 8

2 1 Introdução O circuito a desenvolver neste trabalho será integrado numa cadeia básicadeprocessamentode vídeo, já existente, to como entrada uma câmara de vídeo digital e como saída um monitor VGA. O sinal de vídeo possui o formato VGA, pixel, eéconstituído por 24 bits/pixel (8 bits/componente). O controlo da aquisição de imagem pela câmara, o processamento e o envio da imagem para o monitor VGA são implementados numa plataforma de prototipagem equipada com um circuito FPGA da família Spartan 3 da Xilinx. O projecto ISE que será fornecido como base para este trabalho, implementa e controla esta cadeia de vídeo, àqualserá acrescentado o módulo a desenvolver neste trabalho. 2 Sistema básico para processamento de vídeo Aconstituição da cadeia de vídeo, tal como implementada no projecto ISE, é apresentada na figura 1 na forma de um diagrama de blocos. A cadeia de processamento de vídeo recebe dados de uma câmara digital monocromática (OmniVision 7120) e entrega os sinais à placa que realiza a interface com o monitor VGA, após alguma operação de processamento da imagem. Como exemplo, inclui-se o módulo simpleimgop que é inserido na cadeia de vídeo e produz o negativo da imagem. Esta secção do sistema éformadapelosmódulos cam_resync e simpleimgop, cuja funcionalidade é descrita posteriormente de forma resumida. O sistema inclui também um módulo que implementa a interface com o barramento I2C da câmara digital, startup_i2c, permitindo configurar vários parâmetros da câmara através da escrita e leitura de diversos registos de configuração. Esta parte do circuito opera de forma síncrona com o sinal de relógio de 100MHz. Seguidamente, descrevem-se os módulos mais relevantes. 2.1 Módulo unisepa Omódulo unisepa implementa uma interface de dados série/paralelo, bi-direccional, possuindo 4 portas de entrada e 4 portas de saída, todas de 16 bits. Em conjunto com o módulo suart permite a comunicação com um PC, via porta série, controlada por uma aplicação de software desenvolvida para o efeito. Desta forma épossível controlar as entradas de um circuito a desenvolver através da escrita numa ou mais portas de saída da referida interface. De igual modo, épossível observar as saídas de um circuito, bastando para isso ligá-las às portas de entrada de unisepa. Adicionalmente, a versão apresentada no diagrama da figura 1, unisepav2, inclui portos de saída adicionais para comunicar com o módulo de controlo startup_i2c do barramento I2C. 2.2 Módulo cam_resync Este módulo sincroniza todos os sinais provenientes da câmara com o sinal de relógio de 100MHz, clock100mhz. Para além disso, produz também em dois barramentos X e Y as coordenadas Pág. 2 de 8

3 Figura 1: Constituição da cadeia base para processamento de vídeo. Pág. 3 de 8

4 do pixel corrente, considerando que o ponto (0, 0) corresponde ao canto superior esquerdo da imagem e o canto inferior direito tem as coordenadas (639, 479). Os sinais produzidos por este módulo transportam a imagem de vídeo produzida pela câmara, de acordo com a descrição feita aseguir. Ybus[7:0] valor do pixel; este sinal deve ser capturado quando cam_pclken = 1, só representando um pixel válido correspondente à imagem capturada quando cam_href = 1; cam_href é colocado em 1 durante a emissão dos pixels de uma linha de imagem; os valores apresentados em Ybus só são válidos quando cam_href = 1; cam_vsync é colocado em 1 para indicar o fim de um quadro de imagem; cam_fodd apenas usado quando a câmara está configurada em modo entrelaçado: cam_fodd = 1 indica que o quadro corrente éímpar e cam_fodd = 0 indica que é par; no projecto dado, a câmara é configurada em modo progressivo e por isso este sinal acaba por não ter utilidade; cam_pclken sinal de clock enable que deve ser usado para habilitar o relógio de 100MHz sincronamente com a chegada dos pixels (pixel clock); cam_pclkout sinal de relógio de pixel com duty-cycle de 50%; é usado apenas como sinal de relógio para o processo de escrita de dados na FIFO; cam_sdain sinal de dados de entrada do barramento I2C; X[9:0] coordenada X do pixel corrente; só apresenta um valor válido quando cam_href = 1; Y[8:0] coordenada Y do pixel corrente; só apresenta um valor válido quando cam_href = Módulo simpleimgop Este módulo exemplifica a forma de utilização do barramento que transporta a imagem, efectuando uma operação muito simples sobre a imagem produzida pela câmara, consistindo no cálculo do negativo da imagem. O circuito opera sobre os 3 canais de cor RGB, o que permite controlar a cor da imagem de saída. Os sinais de entrada devem ser lidos com o relógio global de 100MHz habilitado pelo sinal pclken, pixel clock enable, emboraoprocessamentolocala este bloco possa fazer uso de todos os ciclos do relógio global. Para garantir a mesma temporização dos sinais de saída, os dois sinais de controlo, href e vsync, devem ser atrasados de exactamente o mesmo número de ciclos de relógio que são atrasadas as saídas RGB. Se este bloco utilizar os sinais X e Y produzidos pelo módulo cam_resync (coordenadas X,Y do pixel corrente), estes sinais também deverão ser igualmente atrasados mas apenas se algum módulo a jusante os utilizar. Este módulo inclui uma entrada global de activação que permite ligar e desligar a operação por ele realizada. No projecto dado, este sinal está ligado ao interruptor sw0. Pág. 4 de 8

5 A listagem seguinte corresponde ao conteúdo de simpleimgop.v. module simpleimgop(clock, reset, pclken, enable, Rin, Gin, Bin, vsyncin, hrefin, Rout, Gout, Bout, vsyncout, hrefout); input clock, reset, pclken, enable; input [7:0] Rin, Gin, Bin; input vsyncin, hrefin; output [7:0] Rout, Gout, Bout; output vsyncout, hrefout; reg [7:0] Rout, Gout, Bout; reg vsyncout, hrefout; clock or posedge reset) if ( reset ) Rout <= 0; Gout <= 0; Bout <= 0; else if ( pclken ) // sinal global de clock enable para o pixel clock if ( enable ) // Calcula o negativo Rout <= 255-Rin; Gout <= 255-Gin; Bout <= 255-Bin; else // Mantem imagem original Rout <= Rin; Gout <= Gin; Bout <= Bin; // Atrasa os sinais de controlo vsync e href do mesmo número de ciclos de // relógio de que s~ao atrasados Rout, Gout e Bout pelo processo anterior clock or posedge reset) if ( reset ) vsyncout <= 1 b1; hrefout <= 1 b1; else if ( pclken ) vsyncout <= vsyncin; hrefout <= hrefin; module Pág. 5 de 8

6 2.4 Geração da imagem de vídeo Aimagemobtidapelacâmara de vídeo é armazenada num conjunto de 3 memórias FIFO externas à FPGA (os 3 canais RGB), so lidas por outro processo sincronamente com os sinais de sincronismo horizontal e vertical do sinal VGA. Os dados RGB lidos das 3 memórias FIFO são encaminhados para um DAC triplo para produzir o sinal de vídeo analógico que é apresentado num monitor. A figura 2 ilustra este sistema. O acesso às memórias FIFO é Figura 2: Geração dos sinais VGA. sequencial e por isso estas memórias não têm barramentos de ereços. A escrita nas memórias FIFO ésíncrona com o sinal de relógio (ocorre no flanco descente do relógio clock25mhz) eé comandada pelos dois sinais wrenb e wrrstb. Quando wrrstb é activado, o gerador de ereços interno da FIFO é colocado em zero, apontando para a primeira posição da memória. Quando ocorre uma transição ascente do sinal de relógio e wrenb está activo(comovalor zero), é armazenado o valor presente no barramento de dados e é incrementado o ereço. O bloco simpleimgop (ver figura 1) comanda os sinais de controlo da FIFO, wrenb e wrrstb, para gravar ciclicamente os = bytes que constituem uma frame. 3 Especificação do circuito a desenvolver Prete-se implementar um módulo que permita controlar o brilho da imagem de vídeo, actuando no valor de cada pixel. Oaumentodobrilhodeumpixel consegue-se adicionando um valor positivo ao valor desse pixel. Pelo contrário, a diminuição do brilho éobtidapelaadição de um valor negativo. Em ambas as situações, a adição deverá prever a saturação nos limites 0 e 255. Prete-se que a constante a somar seja dada por um valor de 8 bits, a definir pelo utilizador com dois botões de pressão disponíveis na placa de ensaio. O botão btn0, quando pressionado, provocará o incremento do brilho em 10 unidades, e o botão btn1, quando pressionado, provocará o decremento do brilho em 10 unidades. Esta funcionalidade deverá ser síncrona com o sinal de relógio clock100mhz da cadeia de vídeo. O circuito deverá possuir uma entrada de reset assíncrono, accionada pelo botão btn3. Pág. 6 de 8

7 Omódulo a desenvolver, cbrilho, substituiráomódulo simpleimgop no top-level do sistema de processamento de vídeo a fornecer na forma de um projecto ISE. A figura 3 mostra a sua interface. 8 Pin cbrilho mais10 menos10 Pout 8 pclken vsyncin hrefin vsyncout hrefout clk reset Figura 3: Interface do circuito a implementar. Apresenta-se a seguir a descrição dos sinais de cbrilho: Pin[7:0] mais10 menos10 pclken vsyncin hrefin clk reset Pout[7:0] vsyncout hrefout valor do pixel corrente; este sinal deve ser capturado de Ybus[7:0] quando pclken = 1, só representando um pixel válido quando hrefin = 1; incrementa o brilho em 10 unidades, accionado através do botão btn0; decrementa o brilho em 10 unidades, accionado através do botão btn1; sinal de clock enable que deve ser usado para habilitar o sinal de relógio do sistema sincronamente com a chegada dos pixels (pixel clock); sinal proveniente de cam_pclken = 1; quando em 1 indica o fim de um quadro de imagem; sinal proveniente de cam_vsync; quando em 1 indica o varrimento de uma linha da imagem; sinal proveniente de cam_href; sinal de relógio do sistema, clock100mhz; sinal de reset do sistema, accionado através do botão btn3; valor do pixel àsaída, resultante da correcção do brilho; sinal de sincronismo vertical, a aplicar na forma negada a wrstb; deve ser produzido com o atraso do mesmo número de ciclos de relógio de que é atrasado Pout; sinal de sincronismo horizontal, a aplicar na forma negada a wrenb; deve ser produzido com o atraso do mesmo número de ciclos de relógio de que é atrasado Pout. Pág. 7 de 8

8 4 Implementação Prete-se construir um modelo do circuito, descrito em linguagem Verilog, assim como um testbench que o permita validar funcionalmente. O módulo referente ao circuito pedido será instanciado no top-level do projecto ISE, a fornecer, que inclui todos os módulos da cadeia de processamentodeimagemdevídeo. Deverá ter em consideração um estilo de modelação que permita a correcta síntese lógica do circuito, para de seguida o implementar na FPGA Spartan3 que equipa a plataforma de desenvolvimento disponível no laboratório. Na execução do trabalho deve aplicar aspectos metodológicos e técnicas de projecto de sistemas digitais avançados estudados nodecorrer das aulas teóricas da disciplina. Tópicos como, hierarquia, modularidade e projecto top-down devem ser considerados sempre que aplicáveis. Pág. 8 de 8

Mestrado em Engenharia Electrotécnica e de Computadores

Mestrado em Engenharia Electrotécnica e de Computadores Mestrado em Engenharia Electrotécnica e de Computadores Projecto de Circuitos e Sistemas Digitais (2000/01) Gerador digital de tons DTMF (Dual-Tone Multi-Frequency) para codificação de dígitos na linha

Leia mais

Controlador de Interrupções com Prioridades Rotativas Julho de 2001

Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Controlador de Interrupções com Prioridades Rotativas Julho de 2001 Trabalho realizado por: Filipe Moreira Ricardo Almeida Controlador de Interrupções com Prioridades Rotativas... 1 Introdução... 1 Descrição

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA

Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA REVISTA DO DETUA, VOL. 4, Nº 5, SETEMBRO 2005 626 Desenvolvimento de circuitos reconfiguráveis que interagem com um monitor VGA Iouliia Skliarova Resumo Este artigo descreve a implementação de circuitos

Leia mais

SSC 741 - Projeto e Implementação de Sistemas Embarcados I

SSC 741 - Projeto e Implementação de Sistemas Embarcados I INSTITUTO DE CIÊNCIAS MATEMÁTICAS E DE COMPUTAÇÃO ICMC UNIVERSIDADE DE SÃO PAULO - USP SSC 741 - Projeto e Implementação de Sistemas Embarcados I Projeto Final Responsáveis: Prof. Dr. Eduardo Marques e

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

AMOSTRAGEM DE SINAIS ANALÓGICOS POR

AMOSTRAGEM DE SINAIS ANALÓGICOS POR Relatório de Sistemas de Aquisição de Dados 2006/2007 Engenharia Física Tecnológica AMOSTRAGEM DE SINAIS ANALÓGICOS POR UM CIRCUITO ADC COM INTERFACE DE COMUNICAÇÃO SÉRIE Laboratório II Trabalho realizado

Leia mais

Microprocessadores II - ELE 0327 CAPÍTULO 2 ESTUDO DA CPU 8086/88

Microprocessadores II - ELE 0327 CAPÍTULO 2 ESTUDO DA CPU 8086/88 Microprocessadores II - ELE 0327 CAPÍTULO 2 ESTUDO DA CPU 8086/88 2.1 - Introdução CPUs de 8 ou 16 bits? O 8085 e o sistema operacional CP/M Semelhança entre a linguagem de máquina do 8085 e o do 8086

Leia mais

Sistemas Digitais Reconfiguráveis

Sistemas Digitais Reconfiguráveis Departamento de Electrónica Telecomunicações e Informática Dezembro de 2006 Interface RS-232 para a TRENZ Daniel Baptista Nº 28703 O projecto O projecto consiste na construção da interface RS-232 e na

Leia mais

FAQ v0.4.3. Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1

FAQ v0.4.3. Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1 UTL/IST FAQ v0.4.3 Perguntas frequentes relacionadas com ISE da Xilinx e ferramentas associadas Versão da ferramenta: Xilinx ISE 10.1 Departamento de Engenharia Electrotécnica e de Computadores Instituto

Leia mais

IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA

IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA IMPLEMENTAÇÃO DE UM VÍDEO GAME EM FPGA COMO ATIVIDADE DIDÁTICA NO CURSO DE ENGENHARIA ELÉTRICA Paulo Alexandre Martin 1 ; Vanderlei Cunha Parro 2 12 Escola de Engenharia Mauá, Engenharia Elétrica Praça

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

Parallel to Serial Shifting IN

Parallel to Serial Shifting IN Parallel to Serial Shifting IN Objectivo: Com o circuito integrado CD4021B Quando num projecto o número de sensores digitais é superior ao número de pinos de entradas digitais disponíveis no microcontrolador

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans atualização - 05/agosto/2013 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015

Laboratório de Arquitetura de Computadores IST - Taguspark 2014/2015 Introdução ao simulador Guião 1 23 a 27 fevereiro de 2015 Laboratório de Arquitetura de Computadores IST - Taguspark 2/25 Introdução ao simulador Guião 23 a 27 fevereiro de 25 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem com

Leia mais

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo.

A idéia hardware sugerida é colocar a placa entre o PC e o microcontrolador, conforme mostrado no esquema abaixo. Circuito de gravação (AVR programmer) Introdução Nossa proposta, nesta parte do trabalho, é apresentar um circuito para gravação ISP (In-System- Programming) para microcontroladores AVR. Este circuito,

Leia mais

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui.

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3 Tecnologia FPGA Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3.1. FPGA: Histórico, linguagens e blocos Muitos dos

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

Funcionamento básico de um computador

Funcionamento básico de um computador Funcionamento básico de um computador Processador Unidade de dados Unidade de controlo Arquitetura de computador básica Linguagem assembly Exemplos Arquitetura de Computadores Funcionamento básico de um

Leia mais

Instruções para a realização dos trabalhos práticos

Instruções para a realização dos trabalhos práticos Instruções para a realização dos trabalhos práticos 1- Material e instruções para montagem Circuitos integrados ESTV-ESI-Sistemas Digitais-Instruções para os Trabalhos Práticos 1/7 Os circuitos integrados

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto

IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto IE-344B - Tópicos em Comunicações Leitura Complementar Aula 5: FPGA e Fluxo de Projeto 2º Semestre/2007 Fabbryccio A. C. M. Cardoso Marcelo Augusto Costa Fernandes Prof. Responsável: Dalton S. Arantes

Leia mais

Sistemas de Computação. Dentro da Unidade do Sistema

Sistemas de Computação. Dentro da Unidade do Sistema Sistemas de Computação Dentro da Unidade do Sistema Conexão de rede subwoofer impressora microfone Unidade de disco flexível Monitor de vídeo Unidade de DVD modem Alto-falante Unidade de sistema ou gabinete

Leia mais

Acetatos de apoio às aulas teóricas

Acetatos de apoio às aulas teóricas Microprocessadores e Aplicações Acetatos de apoio às aulas teóricas Ana Cristina Lopes Dep. Engenharia Electrotécnica http://orion.ipt.pt anacris@ipt.pt Ana Cristina Lopes, 16 de Dezembro de 2004 Microprocessadores

Leia mais

Arquitetura de Computadores. Professor: Vilson Heck Junior

Arquitetura de Computadores. Professor: Vilson Heck Junior Arquitetura de Computadores Professor: Vilson Heck Junior Agenda Conceitos Estrutura Funcionamento Arquitetura Tipos Atividades Barramentos Conceitos Como já discutimos, os principais componentes de um

Leia mais

Experimento 06 Unidade Aritmética

Experimento 06 Unidade Aritmética Murilo Soares Pereira, RA: 298468 Pedro Henrique de Freitas, RA: 321443 Experimento 06 Unidade Aritmética Prof. Takashi Utsonomiya Universidade Federal de São Carlos São Carlos - SP Sumário 3 1 Resumo

Leia mais

NI FlexRIO - Tecnologia e Aplicações

NI FlexRIO - Tecnologia e Aplicações NI FlexRIO - Tecnologia e Aplicações Mike Munhato Marketing Técnico André Oliveira Gerente de Vendas Agenda O que é FPGA e por que ele é tão útil? Vantagens do FPGA em sistemas de teste Plataforma NI FlexRIO

Leia mais

SIMULAÇÃO VHDL DO PROCESSADOR MRStd

SIMULAÇÃO VHDL DO PROCESSADOR MRStd SIMULAÇÃO VHDL DO PROCESSADOR MRStd Este tutorial visa iniciar os alunos à simulação de um processador que dá suporte a um subconjunto amplo de instruções da arquitetura MIPS, denominado MRStd. Este processador

Leia mais

DISCIPLINA: Sistemas Digitais

DISCIPLINA: Sistemas Digitais DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso

Leia mais

Capítulo 5 Entrada/Saída

Capítulo 5 Entrada/Saída Capítulo 5 Entrada/Saída 5.1 Princípios do hardware de E/S 5.1.1 Dispositivos de E/S Os dispositivos de E/S podem ser divididos em duas categorias: Dispositivos de bloco Armazena informações em blocos

Leia mais

O USO DE UM SENSOR DE LUZ LINEAR COMO RECURSO DIDÁTICO PARA DEMONSTRAR PRINCÍPIOS DE DIFRAÇÃO E ESPECTROSCOPIA

O USO DE UM SENSOR DE LUZ LINEAR COMO RECURSO DIDÁTICO PARA DEMONSTRAR PRINCÍPIOS DE DIFRAÇÃO E ESPECTROSCOPIA Quim. Nova, Vol. 38, No. 3, S1-S6, 2015 O USO DE UM SENSOR DE LUZ LINEAR COMO RECURSO DIDÁTICO PARA DEMONSTRAR PRINCÍPIOS DE DIFRAÇÃO E ESPECTROSCOPIA Fernando Arruda Mendes de Oliveira a,b, Eduardo Ribeiro

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa.

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa. Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Projeto No. 11 Display de Leds de 7 Segmentos

Projeto No. 11 Display de Leds de 7 Segmentos Projeto No. 11 Display de Leds de 7 Segmentos O objetivo deste projeto é demonstrar a utilização do display de led de 7 segmentos controlado diretamente a partir das portas do Arduino. Material necessário:

Leia mais

Amplificadores Operacionais

Amplificadores Operacionais Análise de Circuitos LEE 2006/07 Guia de Laboratório Trabalho 2 Amplificadores Operacionais INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores Paulo Flores 1 Objectivos

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Sistemas Operacionais

Sistemas Operacionais Sistemas Operacionais Sistemas de Entrada/Saída Princípios de Hardware Sistema de Entrada/Saída Visão Geral Princípios de Hardware Dispositivos de E/S Estrutura Típica do Barramento de um PC Interrupções

Leia mais

Placas de Vídeo. Prof. Alexandre Beletti Ferreira

Placas de Vídeo. Prof. Alexandre Beletti Ferreira Placas de Vídeo Prof. Alexandre Beletti Ferreira Introdução Dispositivo responsável pela comunicação entre a placa mãe e o monitor de vídeo para reproduzir imagens. Dispositivo básico de output. Converte

Leia mais

PROGRAMAÇÃO DO TREINAMENTO:

PROGRAMAÇÃO DO TREINAMENTO: PROGRAMAÇÃO DO TREINAMENTO: Página 2 0. Objetivos deste treinamento Quem está fazendo este módulo avançado II é porque já passou pelos módulos anteriores. Portanto não serão abordados aqui os tópicos já

Leia mais

Controle de Acesso. Automático de Veículos. saiba mais. automação

Controle de Acesso. Automático de Veículos. saiba mais. automação Controle de Acesso Automático de Veículos Este trabalho tem como objetivo desenvolver uma solução tecnológica que permita o controle dos portões automáticos remotamente através da internet. Aplicando-se

Leia mais

Protótipo de Software e Hardware para Aquisição de Dados.

Protótipo de Software e Hardware para Aquisição de Dados. Protótipo de Software e Hardware para Aquisição de Dados. Luís Augusto Spranger Universidade Regional de Blumenau FURB bibao@yahoo.com Novembro / 2002 RESUMO Este artigo descreve a construção de um protótipo

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

Arquitetura de Sistemas Embarcados: Controladores Industriais

Arquitetura de Sistemas Embarcados: Controladores Industriais Arquitetura de Sistemas Embarcados: Controladores Industriais Heber A. Scachetti RA 004933 heber_augusto@yahoo.com.br RESUMO Este artigo apresenta algumas das principais características encontradas em

Leia mais

CONSTRUÇÃO DE UMA UCP HIPOTÉTICA M++ INTRODUÇÃO

CONSTRUÇÃO DE UMA UCP HIPOTÉTICA M++ INTRODUÇÃO CONSTRUÇÃO DE UMA UCP HIPOTÉTICA M++ INTRODUÇÃO O seguinte artigo apresenta uma UCP hipotética construída no software simulador DEMOWARE Digital Works 3.04.39. A UCP (Unidade Central de Processamento)

Leia mais

Fundamentos de Arquitetura de Computadores. Prof. Marcos Quinet Universidade Federal Fluminense UFF Pólo Universitário de Rio das Ostras - PURO

Fundamentos de Arquitetura de Computadores. Prof. Marcos Quinet Universidade Federal Fluminense UFF Pólo Universitário de Rio das Ostras - PURO Fundamentos de Arquitetura de Computadores Prof. Marcos Quinet Universidade Federal Fluminense UFF Pólo Universitário de Rio das Ostras - PURO Hardware de um Sistema Computacional Hardware: são os componentes

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

TRABALHO 3 Circuitos RLC resposta nos domínios do tempo e da frequência

TRABALHO 3 Circuitos RLC resposta nos domínios do tempo e da frequência GUIA DE LABORATÓRIO Análise de Circuitos - LEE TRABALHO 3 Circuitos RLC resposta nos domínios do tempo e da frequência INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Electrotécnica e de Computadores

Leia mais

Modelo Genérico de Módulo de E/S Grande variedade de periféricos

Modelo Genérico de Módulo de E/S Grande variedade de periféricos Conteúdo Capítulo 7 Entrada/Saída Dispositivos externos Módulos E/S Técnicas de E/S E/S Programada E/S Conduzida por interrupções Processamento de interrupções Controlador Intel 82C59A Acesso Directo à

Leia mais

Datasheet Controladora PCI 5i20

Datasheet Controladora PCI 5i20 Datasheet Controladora PCI 5i20 A placa 5I20 é um periférico de processamento genérico, utilizada através de uma conexão PCI com um PC comum. Internamente, a placa contém um FPGA de alta capacidade de

Leia mais

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO

CAPÍTULO 5. INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO 28 CAPÍTULO 5 INTERFACES PARA PERIFÉRICOS DE ARMAZENAMENTO INTERFACES DIVERSAS: FIREWIRE, SPI e I 2 C INTERFACES COM O MUNDO ANALÓGICO Interfaces para periféricos de armazenamento: Periféricos de armazenamento,

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

Generated by Foxit PDF Creator Foxit Software http://www.foxitsoftware.com For evaluation only. Multiplexação e Frame Relay

Generated by Foxit PDF Creator Foxit Software http://www.foxitsoftware.com For evaluation only. Multiplexação e Frame Relay e Frame Relay o Consiste na operação de transmitir varias comunicações diferentes ao mesmo tempo através de um único canal físico. Tem como objectivo garantir suporte para múltiplos canais. o A multiplexação

Leia mais

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009 Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 9 a 3 de Março 2009 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem

Leia mais

Arquitetura de Computadores. Tipos de Instruções

Arquitetura de Computadores. Tipos de Instruções Arquitetura de Computadores Tipos de Instruções Tipos de instruções Instruções de movimento de dados Operações diádicas Operações monádicas Instruções de comparação e desvio condicional Instruções de chamada

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Descrição do funcionamento e protocolo de um teclado (PC)

Descrição do funcionamento e protocolo de um teclado (PC) Departamento de Engenharia Informática Faculdade de Ciência e Tecnologias Universidade de Coimbra ARQUITECTURA DE COMPUTADORES II Ano Lectivo de 2002-2003 Descrição do funcionamento e protocolo de um teclado

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

Organização de Computadores 1

Organização de Computadores 1 Organização de Computadores 1 SISTEMA DE INTERCONEXÃO (BARRAMENTOS) Prof. Luiz Gustavo A. Martins Arquitetura de von Newmann Componentes estruturais: Memória Principal Unidade de Processamento Central

Leia mais

Curso Profissional de Electrónica, Automação e Computadores. Automação e Computadores

Curso Profissional de Electrónica, Automação e Computadores. Automação e Computadores Curso Profissional de Electrónica, Automação e Computadores Automação e Computadores Memórias Significado Em informática, memória são todos os dispositivos que permitem a um computador guardar dados, temporariamente

Leia mais

Agenda. ! Visão Geral sobre Sistemas Embarcados. ! Proposta de um Currículo em Sistemas Embarcados! Estudos de Casos. ! Conclusão

Agenda. ! Visão Geral sobre Sistemas Embarcados. ! Proposta de um Currículo em Sistemas Embarcados! Estudos de Casos. ! Conclusão Agenda 1! Visão Geral sobre Sistemas Embarcados! Disciplinas da UFPE! Disciplinas da UFSC! Proposta de um Currículo em Sistemas Embarcados! Estudos de Casos! Conversor de cores RGB para YCrCb! Desenvolvimento

Leia mais

Estrutura interna de um microcontrolador

Estrutura interna de um microcontrolador Estrutura interna de um microcontrolador Um microcontrolador é composto por um conjunto de periféricos interligados a uma unidade de processamento e todo este conjunto confere a este componente uma versatilidade

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops 1. Introdução Este trabalho foi concebido para que os alunos se familiarizem com o uso de latches e flip-flop. Inicia-se

Leia mais

Manual Processamento de Imagem. João L. Vilaça

Manual Processamento de Imagem. João L. Vilaça Manual Processamento de Imagem João L. Vilaça Versão 1.0 31/1/2014 Índice 1. Sistema de eixo e movimentos possíveis do Drone... 3 2. Imagem... 3 3. Espaços de cor... 4 4.1 RGB... 5 4.2HSV... 5 4.3 GRAY...

Leia mais

28/9/2010. Unidade de Controle Funcionamento e Implementação

28/9/2010. Unidade de Controle Funcionamento e Implementação Arquitetura de Computadores Unidade de Controle Funcionamento e Implementação Prof. Marcos Quinet Universidade Federal Fluminense P.U.R.O. Operação da Unidade de Controle Unidade de controle: parte do

Leia mais

Manual do instalador Box Output DC Rev. 0.01.000. Figura 01 Apresentação do Box Output DC.

Manual do instalador Box Output DC Rev. 0.01.000. Figura 01 Apresentação do Box Output DC. Pág. 1/10 Apresentação Equipamento para acionamento de cargas em corrente contínua. Comunicação com outros dispositivos por rede CAN. 6 entradas digitais para acionamento local ou remoto. 6 entradas/saídas

Leia mais

ESCOLA SECUNDÁRIA MANUEL DA FONSECA - SANTIAGO DO CACÉM

ESCOLA SECUNDÁRIA MANUEL DA FONSECA - SANTIAGO DO CACÉM p./9 Grupo Disciplinar: 540 Ano Lectivo: 008/009 -Circuitos sequenciais síncronos.. Aplicações com contadores síncronos... Registos. Utilizar circuitos CMOS da série 74HCT ou 4000. Testar o funcionamento

Leia mais

Arquitetura de Computadores. Ivan Saraiva Silva

Arquitetura de Computadores. Ivan Saraiva Silva Arquitetura de Computadores Introdução Ivan Saraiva Silva Sumário Introdução Bibliografia Recomendada O que é um computador Organização de um Computador Modelo de Von Neumann IAS Máquina de Von Neuman

Leia mais

16. Sistemas de Memória... 16-2 16.1 Projecto do Sistema de Memória... 16-2 16.1.1 Implementação dos Blocos de Memória... 16-2 16.1.

16. Sistemas de Memória... 16-2 16.1 Projecto do Sistema de Memória... 16-2 16.1.1 Implementação dos Blocos de Memória... 16-2 16.1. 6. Sistemas de Memória... 6-2 6. Projecto do Sistema de Memória... 6-2 6.. Implementação dos Blocos de Memória... 6-2 6..2 Mapa de Memória... 6-4 6..3 Lógica de descodificação de endereços... 6-5 6..4

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

ESCOLA SECUNDÁRIA ALFREDO DA SILVA CURSO PROFISSIONAL DE ELECTRÓNICA AUTOMAÇÃO E COMANDO DISCIPLINA: ELECTRICIDADE E ELECTRÓNICA

ESCOLA SECUNDÁRIA ALFREDO DA SILVA CURSO PROFISSIONAL DE ELECTRÓNICA AUTOMAÇÃO E COMANDO DISCIPLINA: ELECTRICIDADE E ELECTRÓNICA --------------------- O OSCILOSCÓPIO Definição: É um aparelho que nos permite: Visualizar as formas de onda das tensões aplicadas Medir frequências e períodos dessas ondas Medir desfasagens entre duas

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Implementação de um módulo Ethernet 10/100Mbps com interface Avalon para o processador Nios II da Altera

Implementação de um módulo Ethernet 10/100Mbps com interface Avalon para o processador Nios II da Altera Implementação de um módulo Ethernet 10/100Mbps com interface Avalon para o processador Nios II da Altera Ricardo Menotti Orientador: Prof. Dr. Eduardo Marques Instituto de Ciências Matemáticas e de Computação

Leia mais

Introdução ao Arduino. Pessanha Santos ASPOF EN-AEL

Introdução ao Arduino. Pessanha Santos ASPOF EN-AEL Introdução ao Arduino Pessanha Santos ASPOF EN-AEL Programa 1. Introdução à plataforma de desenvolvimento Arduino 2. Análise à sua constituição 3. Software de desenvolvimento Arduino 4. Estrutura e Sintaxe

Leia mais

Aula 2. - Elaboração de algoritmos/fluxogramas. - Gravação do programa no microcontrolador

Aula 2. - Elaboração de algoritmos/fluxogramas. - Gravação do programa no microcontrolador Aula 2 - Elaboração de algoritmos/fluxogramas - Set de instruções do 8051 - Programação em Assembly - Gravação do programa no microcontrolador - Simulação Exercícios da aula passada... 1) Converta os números

Leia mais

Índice. Normas de segurança 1 Segurança na parte eléctrica 1 Segurança na instalação 1 Segurança na limpeza 1

Índice. Normas de segurança 1 Segurança na parte eléctrica 1 Segurança na instalação 1 Segurança na limpeza 1 Índice Normas de segurança 1 Segurança na parte eléctrica 1 Segurança na instalação 1 Segurança na limpeza 1 OBSERVAÇÕES ESPECIAIS SOBRE MONITORES LCD 1 Componentes e acessórios 2 Utilização 2 Guia de

Leia mais

ARQUITETURA DE COMPUTADORES - 1866

ARQUITETURA DE COMPUTADORES - 1866 7 Unidade Central de Processamento (UCP): O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento e de controle, durante a execução de um

Leia mais

Matriz seletora VGA/WXGA & Controle RS-232. 8 x 8 TRANSCORTEC STATUS OUTPUT 2

Matriz seletora VGA/WXGA & Controle RS-232. 8 x 8 TRANSCORTEC STATUS OUTPUT 2 MX-88 Matriz seletora VGA/WXGA & Controle RS-232 8 x 8 TRANSCORTEC STATUS OUTPUT 2 1 3 4 5 6 7 8 INPUT AUDIO IN AUDIO OUT 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8 AC IN VGA/WXGA OUT 1 VGA/WXGA OUT 2 VGA/WXGA OUT

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

Arquitetura de Computadores - Revisão -

Arquitetura de Computadores - Revisão - Arquitetura de Computadores - Revisão - Principais funções de um Sistema Operacional Componentes básicos da Arquitetura Barramentos Registradores da CPU Ciclo de Instruções Interrupções Técnicas de E/S

Leia mais

SSS Slide Show System

SSS Slide Show System SSS Slide Show System Licenciatura em Ciências da Computação Programação Imperativa 2009 Segundo Projecto Versão de 21 de Março de 2009 Alberto Simões José C. Ramalho Instruções Este projecto deve ser

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br - Aula 2 - O NÍVEL DA MICROARQUITETURA 1. INTRODUÇÃO Este é o nível cuja função é implementar a camada ISA (Instruction Set Architeture). O seu projeto depende da arquitetura do conjunto das instruções

Leia mais

Manual do instalador Box Output AC Rev. 0.01.000. Figura 01 Apresentação do Box Output AC.

Manual do instalador Box Output AC Rev. 0.01.000. Figura 01 Apresentação do Box Output AC. Pág. 1/10 Apresentação Equipamento para acionamento de cargas em corrente alternada 110/220V. Comunicação com outros dispositivos por rede CAN. 6 entradas digitais para acionamento local ou remoto. 6 entradas/saídas

Leia mais

Introdução a Informática. Colégio "Serrano Guardia" Módulo I Informática Básica William Andrey de Godoy

Introdução a Informática. Colégio Serrano Guardia Módulo I Informática Básica William Andrey de Godoy Introdução a Informática 1 Colégio "Serrano Guardia" Módulo I Informática Básica Introdução a Informática São conjuntos de métodos e equipamentos através do quais podemos armazenar e manipular informações

Leia mais

Processadores. Prof. Alexandre Beletti Ferreira

Processadores. Prof. Alexandre Beletti Ferreira Processadores Prof. Alexandre Beletti Ferreira Introdução O processador é um circuito integrado de controle das funções de cálculos e tomadas de decisão de um computador. Também é chamado de cérebro do

Leia mais

Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1

Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1 UNIVERSIDADE FEDERAL DE SÃO CARLOS CENTRO DE CIÊNCIAS EXATAS E TECNOLOGIA (CCET) DEPARTAMENTO DE COMPUTAÇÃO Microprocessadores e Microcontroladores Prof. Edilson R. R. Kato Simulação do computador SAP-1

Leia mais

Tutorial do Max Plus II Baseline

Tutorial do Max Plus II Baseline Tutorial do Max Plus II Baseline Thiago Valentin de Oliveira 10/08/2010 1 Baixando, Licenciando e Instalando o Software O primeiro passo é adquirir o software Max Plus II com uma licença. Isso pode ser

Leia mais

Como è feito computador

Como è feito computador Como è feito computador O computador contém uma parte elétrica e uma parte eletrónica. Parte elétrica é usada para transformar e dinstribuir a eletricidade que vem para os vários componentes. Parte eletrónica

Leia mais

Arquitetura de Computadores I

Arquitetura de Computadores I Arquitetura de Computadores I Pipeline -- Conflito de dados paradas e adiantamentos -- Conflito de controle detecção de desvios e descarte de instruções -- Edson Moreno edson.moreno@pucrs.br http://www.inf.pucrs.br/~emoreno

Leia mais

Normas de segurança Componentes e Acessórios Utilização Anexo

Normas de segurança Componentes e Acessórios Utilização Anexo Índice Normas de segurança 1 Segurança na parte 1 eléctrica Segurança na instalação 1 Segurança na limpeza 1 Componentes e 2 Acessórios Utilização 2 Guia de Instalação 2 Regulação do monitor 3 Funcionamento

Leia mais