Electrónica dos Sistemas Embebidos. Guia de Laboratório III

Tamanho: px
Começar a partir da página:

Download "Electrónica dos Sistemas Embebidos. Guia de Laboratório III"

Transcrição

1 Electrónica dos Sistemas Embebidos Guia de Laboratório III IST-2017

2 Motivação Na última década assistimos a um crescimento muito significativo de funcionalidades implementadas em circuitos electrónicos. As actuais tecnologias CMOS com elevada resolução litográfica tornaram muito barata a implementação de funções lógicas e de sistemas digitais complexos. Adicionalmente, também as ferramentas de CAD (Computer Aided Design) sofreram nas últimas décadas enormes avanços que possibilitaram uma visão integrada do projecto de sistemas electrónicos. Na actualidade não faz mais sentido a separação software/hardware para o trabalho de engenharia nesta área. A opção pelo sistema programado ou pela implementação/fabrico do circuito dedicado é apenas ditada pelas restrições associadas à métrica utilizada (preço, flexibilidade, consumo ). O ponto de partida será sempre o mesmo: a descrição do problema com o recurso a linguagens de alto nível como a linguagem C, System C, VHDL, Verilog. Esta metodologia de projecto tende a não se restringir apenas ao domínio digital. Uma vez que a vasta maioria dos sistemas eletrónicos atuais integram o analógico e o digital numa mesma pastilha de silício (SOC-System On the Chip), deixa de fazer sentido olhar para esses dois campos como independentes. Devido a isso, extensões daquelas linguagens como o AMS_VHDL, AMS_Verilog, têm sido desenvolvidas no sentido de encapsular, em módulos de descrição de hardware, o comportamento de sistemas de sinal misto (AMS-Analog Mixed Signal). Objectivo O objetivo desta atividade de laboratório consiste em implementar numa FPGA o circuito indicado na Figura 1 para o controlo duma máquina de lavar a roupa, usando para tal o Verilog como a linguagem de descrição de hardware. Este circuito realiza a máquina de estados que se representa na Figura 2. Para além das entradas e saídas indicadas no circuito, há ainda a contar com os sinais internos de controlo e de sinalização de estado associados a uma unidade básica de processamento que faz a contagem do tempo. clk reset (BTN0) start (BTN1) full(btn2) cold(btn3) empty(sw_0) Programador de Máquina de lavar roupa l_ready (LD0) l_water_in (LD1) l_wash (LD2) l_drain (LD3) l_speed LD4) l_heatwater (LD5) Figura 1

3 As entradas da máquina de estados, simulando as saídas de dois estados produzidas por sensores, são os 4 botões de pressão da placa e o slide_switch com o nº 0. De início, todas estas entradas do programador estão no 0 lógico. À medida que cada uma destas entradas passa a 1, têm lugar os seguintes eventos: Botão 0 Botão 1 Botão 2 Botão 3 reset : coloca a máquina no estado ready start : inicia o ciclo de lavagem fazendo a máquina sair de ready e iniciando o enchimento de água full : indica que o nível da água atingiu o valor adequado passando ao estado wash. A máquina permanece no estado wash durante 4 reset Default: q_reg segundos, passando depois para o estado ready l_ready drain. sec_2 cold : indica que a ~start start temperatura está abaixo do water_in l_water_in programado. Sempre que a máquina está no estado wash e o Botão 3 é premido, é activada a saída heat_water Sw_0 empty : do estado wash a máquina passa para o estado drain no qual permanece até que o o swtich 0 indique que o tambor está vazio. Quando isto acontece a máqina passa para o estado speed durante 2 segundos. Findo este tempo a máquina passa para o estado ready. A máquina de estados deve ser síncrona com o flanco ascendente de relógio da placa ( clk ) que representa também uma das suas entradas. As saídas associadas aos estados da máquina e à saída heat_water são sinalizadas nos leds da placa Nexys2 da Digilent: LED0 (l_ready) estado Ready LED1 (l_water_in) estado Water_In LED2 (l_wash) estado Wash LED3 (l_drain) estado Drain LED4 (l_speed) estado Speed LED5 (l_heat_r) saída heat_water ~full ~sec_4 ~empty ~sec_2 full wash drain speed sec_4 empty l_wash, q_reg =q_reg+1 cold/ l_heat_w l_drain Fig.2 - Diagrama de estados l_speed, q_reg =q_reg+1

4 A máquina de estados a implementar segue o diagrama conceptual que abaixo se indica. Para além das entradas e saídas acima referidas, também são tomadas em consideração tanto os sinais indicativos do estado da unidade de processamento (sec_4, sec_2) como os sinais de controlo (clk, count_en). A funcionalidade da unidade de processamento é apenas o registo em q_reg e a contagem no tempo. datapath status signal:(sec_4,sec_2) Control signals to datapath inputs Next state logic State Register Output logic outputs clk reset Software de desenvolvimento O software a utilizar é o ISE 14.2 da Xilinx e hardware é a placa Nexys 2 da Digilent, contendo a Fpga Spartan 3E, mais concretamente uma XC3S1200E (1200k-gates) com encapsulamento FG320. Para simular a máquina de estados, poderá ser instalado o software ModelSim ou utilizar o simulador interno ISIM, e irá ser a nossa opção Procedimentos 1- Crie uma pasta na directoria C:\esemb correspondente ao seu turno (turno_?). 2- Activando o ícone abra o navegador de projeto ISE 14.2 e, em seguida, entre em File>New Project Dê um nome ao seu projeto, assegurando-se que a sua diretoria de trabalho está em C:\esemb\turno?. Selecione, como a seguir se indica, o dispositivo onde o projeto será implementado bem com a preferência pela linguagem Verilog.

5 3- Depois de terminar o processo de especificação do projecto, com o botão direito do rato, active new source, selecione o tipo Verilog para o seu módulo e atribua um nome à sua escolha para este ficheiro (no nosso caso escolhemos wash_machine). Este módulo será o módulo principal do projeto (equivalente ao main na linguagem C). De seguida, indique o nome dos portos, especificando a direção (input ou output). O resultado é a declaração de módulo na forma que abaixo se indica. module wash_machine( input reset, input clk, input start, input full, input cold, input empty, output l_ready, output l_water_in, output l_wash, output l_drain, output l_speed, output l_heat_w); // corpo do programa endmodule 4- Adicione ao seu projeto uma nova source, agora do tipo Implementation Constraints File (UCF), dê um nome à sua escolha a esse ficheiro (no nosso caso optámos pelo nome wash) e, em seguida, finalize esse processo. Expanda o navegador de projetos e, descendo na hierarquia de ficheiros, constate a presença do novo ficheiro criado com a extensão *.ucf. De seguida, edite o ficheiro (Text), faça o copy-paste do texto abaixo indicado para o editor que se encontra vazio. A informação contida neste ficheiro vai permitir-lhe associar as entradas e saídas do seu projecto aos pinos da FPGA. NET "clk" TNM_NET = "clk"; TIMESPEC "TS_clkin" = PERIOD "clk" 10 ns HIGH 50 %; NET "clk" LOC = "B8" ; NET "l_ready" LOC = "J14" ; NET "l_water_in" LOC = "J15" ; NET "l_wash" LOC = "K15" ; NET "l_drain" LOC = "K14" ; NET "l_speed" LOC = "E16" ; NET "l_heat_w" LOC = "P16" ; NET "reset" LOC = "B18" ; NET "start" LOC = "D18" ; NET "full" LOC = "E18" ; NET "cold" LOC = "H13" ; clk NET "empty" LOC = "G18" ; Timer 5- Adicione uma nova source ao seu start projeto, também do tipo Verilog com um nome à sua escolha por um dos seguintes métodos alternativos: Método 1- Edite o módulo principal (no nosso caso wash_machine) e, abaixo da declaração endmodule, faça sec_4 sec_2

6 copy/paste do código do módulo que abaixo se indica a negrito. Método 2- Como em 2, com o botão direito do rato ative new source, escolha o tipo Verilog, dê-lhe o nome timer e faça next em todas as fases de criação do ficheiro e, no final substitua todo o módulo vazio pelo módulo com o código que abaixo se indica a negrito. Esta nova unidade, que ficará com o nome timer, com as entradas e saídas mostradas na figura, representa um contador de clock s que permitirá medir o tempo em que a máquina se mantém quer no estado wash, quer no estado speed. Determine N para garantir que, quando sec_4 e sec_2 assumem o valor lógico 1, haja a garantia que os tempos t= 4s e t=2s foram atingidos. Sabe-se que a frequência do clock da FPGA é 50MHz. module timer( input clk, input start, output sec_4, output sec_2 ); // Substituir apenas aqui o valor de N dimensionado! localparam N= 4 ;// Altere o valor para o N calculado só depois da simulação reg [N-1:0] q_reg; assign sec_2 = q_reg[n-2]; assign sec_4 = q_reg[n-1]; clk) begin q_reg <= (start == 1'b0)?{N{1'b0}} : q_reg + 1; end endmodule 6- Inclua no corpo de programa principal do módulo wash_machine o código que abaixo se indica, onde o timer atrás definido é instanciado pela ordem dos portos. Substitua os pontos de interrogação presentes no código. Expanda o ícone +, próximo do processo Synthesize, a fim de expandir a hierarquia de subprocessos. Active Check syntax para verificar a sintaxe do seu projeto. Clique sobre view RTL Schematic e opte por start with a schematic of the top_level block, para observar o circuito a ser implementado. Para analisar em detalhe cada um dos módulos do circuito, com o botão direito do rato escolha a opção show block contents e em seguida faça o Zoom to Full View. O passo seguinte deverá ser a simulação, deixando para depois todo o processo de implementação da máquina de estados na fpga 3S1200E.

7 // symbolic state declaration localparam [2:0] water_in=3'b111, ready=?, wash=?,drain=?, speed=?; // signal declaration reg [2:0] state_reg, state_next; wire count_en,?,?; // internal wires: add a timer control and status signal wires //state register clk,posedge reset) begin if(reset) state_reg<=?; else state_reg<=?; end //next state procedural logic block and output logic begin state_next=state_reg; case(state_reg) ready: if(<condition>) state_next=?; water_in: if(<condition>) state_next=?; wash: if(<condition>) state_next=?; drain: if(<condition>) state_next=?; speed: if(<condition>) state_next=?; default:state_next=?; endcase end //Moore combinational output logic assign l_ready=(state_reg==ready); assign l_water_in=(?); assign l_wash=(?); assign l_speed=(?); assign l_drain=(?); assign count_en=?; // Mealy combinational output logic independent of transition condition assign l_heat_w=?; //Basic datapath - time_end instance timer time_end( clk,count_en,sec_4,sec_2); 7- Simulação: Seleccione o programa principal na janela de programas. De seguida, na janela de processos active Create new source. T E S T B E N C H Test Bench Stimulus Generator clk reset start full cold empty UUT Programador de Máquina de Lavar Roupa l_ready l_water_in l_wash l_drain l_speed l_heatwater

8 Seleccione o tipo Verilog Test Fixture, atribua-lhe um nome, por exemplo wash_machine_tb, e, de seguida, procure garantir que esse ficheiro fica associado ao seu ficheiro principal. Este novo módulo deverá simular o circuito a ter numa bancada de teste (test bench) para testar o seu programador da máquina de lavar. Na janela de programas seleccione Behavioral Simulation e verificará que o seu módulo de teste foi adicionado e que este, pelo seu lado, instancia o módulo do programador como unidade sob teste (UUT-Unity Under Test). Faça o copy-paste das instruções que se indicam a seguir, que representam uma dada disposição no tempo dos estímulos da sua máquina de estados. Imediatamente antes do bloco initial introduza as instruções do simulador de impulsos de relógio always begin clk = 1'b1; #10; clk = 1'b0; #10 ; end Após // Add stimulus here do bloco initial introduza os estímulos: reset=1'b1; #30; reset=1'b0; #60; start=1'b1; #20; start=1'b0; #100; full=1'b1; #20; full=1'b0; #100; cold=1'b1; #100; cold=1'b0; #200; empty=1'b1; #20; empty=1'b0; #100;

9 8- Depois de completar a simulação, saía do modo behavioral para o modo implementation, modifique N do timer para o valor que calculou no ponto 4. Com o ficheiro-fonte principal selecionado, active consecutivamente os processos Synthesize, Implement Design, e Generate Program File. Nesta última fase é criado o ficheiro *.bit (<nome do projecto>.bit) para ser descarregado sobre os alvos, que na placa da Digilent Nexys 2 são a fpga spartan 3-e e uma prom (memória rom programável). 9- Dois métodos estão disponíveis para o descarregamento do ficheiro *.bit: a) o programa Adept da Digilent (mais simples) e b) o programa impact da suite de programas do ISE 14.2 (mais geral e mais flexível mas também mais complexo). a) Clique sobre o ícone do programa Adept para estabelecer a ligação com a interface JTAG da placa Nexys 2. Aceite qualquer warning que possa surgir e procure o ficheiro *.bit criado na diretoria onde instalou o seu programa. Note que o Adept identificou dois dispositivos residentes na placa que podem ser programados: Device 1: XC3S1200E e Device 2: XCF04S (PROM- Rom programável). Clique sobre program para descarregar sobre estes dispositivos o ficheiro *.bit. b) Na janela de processos do seu projeto, 1- clique sobre Configure Target Device de modo a abrir a aplicação impact. 2- Com a placa Nexys 2 ligada à porta USB, clique em File>New Project e faça OK em todas as janelas que vão sendo abertas de modo a que a ligação entre o seu computador e a placa Nexys 2 possa ser realizada automaticamente. 3- Clique com o botão direito sobre o ícone da fpga xc3s1200e (Spartan 3E) e associe-lhe o ficheiro *.bit que se encontra na diretoria do seu projeto. 4. Rejeite o modo indireto de programação da Fpga através da prom. 5 De novo, clique com

10 o botão direito sobre o ícone da fpga xc3s1200e e em seguid em program. 10- Teste na FPGA a máquina de estados que desenvolveu utilizando para tal os leds e os botões de pressão e switch que estão associados aos pinos que indicou em 3. Bibliografia Xilinx, ISE 10.1 Quick Start Tutorial, Lecture - Verilog Revue + Lecture - Verilog FSM examples, Eric Crabill, S. José State University( SJSU) / Xilinx.

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc)

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc) Aprendendo a utilizar a Ferramenta Modelsim Professor: Lucas Cambuim (lfsc) Visão da Ferramenta ModelSim É um simulador computacional para análise de sistemas digitais Visão da Ferramenta ModelSim Possui

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino Máquinas de Estados Finitos Aula 19 Prof. Abel Guilhermino Definição Um sistema seqüencial deve ter a capacidade de capturar a influência de todas as entradas passadas sobre as saídas atuais e futuras.

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

VERILOG. Alex Vidigal Bastos

VERILOG. Alex Vidigal Bastos VERILOG Alex Vidigal Bastos Sumário Objetivos Introdução Hierarquia Estruturas de Modelagem Objetivos Identificar os modelos de componentes do Verilog, incluindo módulos, instâncias e portas; Correta sintaxe

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Máquina de Estados Transições Estados Em Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (rad) O que é? É uma modelagem de um comportamento, composto por estados, transições

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Electrónica Digital 2. Xilinx Webpack

Electrónica Digital 2. Xilinx Webpack Electrónica Digital 2 Xilinx Webpack (ver 5.2) Notas para iniciação (Outubro 2003) António Cunha Prefácio Este texto tem como objectivo introduzir os primeiros conceitos sobre a utilização de um CAD para

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Segundo Trabalho de Sistemas Digitais

Segundo Trabalho de Sistemas Digitais Segundo Trabalho de Sistemas Digitais Alunos: Márcio Teixeira, Louise Landi e Tharsus Proux. Professor: Mário Vaz Filho. 1 Introdução Tutorial de um Divisor de Frequências em VHDL O objetivo deste trabalho

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Introdução às máquinas de estado finitas

Introdução às máquinas de estado finitas Introdução às máquinas de estado finitas João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica ELT6 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Máquinas de estados finitos Prof. Rodrigo de Paula Rodrigues ircuitos sequenciais Q Q Q Q

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007 ÍNDICE: 1. INTRODUÇÃO:...3 2. CONFIGURAÇÃO:...4

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Programação de CPLDs no ambiente ISE 4.2i da Xilinx Programação de CPLDs no ambiente ISE 4.2i da Xilinx O presente documento resume os passos necessários para programar um Complex Programmable Logic Device (CPLD) usando o editor de esquema eléctrico e a

Leia mais

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 2. Material utilizado: Ambiente Eclipse 3. Introdução: 3.1

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Paulo Lopes, Horácio

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 2

Projecto de Sistemas Digitais. Trabalho Prático 2 Mestrado Integrado em Engenharia Electrotécnica e de Computadores Projecto de Sistemas Digitais 2007/08 1 o semestre 4 o Ano Trabalho Prático 2 Controlo de brilho para sistema de processamento de imagem

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

COMPUTAÇÃO E PROGRAMAÇÃO

COMPUTAÇÃO E PROGRAMAÇÃO COMPUTAÇÃO E PROGRAMAÇÃO 1º Semestre 2015/2016 MEMec, LEAN Ficha da Aula Prática 11: Introdução à criação de programas em C. Sumário das tarefas e objectivos da aula: 1 Aprender os passos necessários à

Leia mais

Automatismos. Lino Marques. Versão de 15/02/2007. Automação Industrial. Lino Marques 2008

Automatismos. Lino Marques. Versão de 15/02/2007. Automação Industrial. Lino Marques 2008 Lino Marques Versão de 15/02/2007 1 Conteúdo 2.1 O que são automatismos? 2.2 Arquitectura de um automatismo 2.3 Elementos de automatismos industriais 2.4 Especificação funcional: o método GRAFCET 2 O que

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200

Roteiro do 3º Experimento: Programação do CLP Siemens S7-1200 Escola de Engenharia de São Carlos - Engenharia Elétrica Disciplina: SEL 0430 Laboratório de Automação Profs. Dennis Brandão e Adriana 1º Semestre/2016 Roteiro do 3º Experimento: Programação do CLP Siemens

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO

SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO INTRODUÇÃO AO AMBIENTE DE PROJECTO DO XILINX ISE 10.1 PEDRO TOMÁS, PAULO LOPES, HORÁCIO NETO REVISÃO DE NOVEMBRO DE 2012 INTRODUÇÃO O Xilinx ISE é um ambiente integrado de projecto de circuitos digitais.

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

Projecto de Sistemas Digitais

Projecto de Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Duração máxima: 60 minutos, sem consulta. Projecto de Sistemas Digitais 4º ano - 1º semestre 2º miniteste 17 de Dezembro 2007 NOME: [5 valores]

Leia mais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

CIRCUITOS SEQUENCIAIS

CIRCUITOS SEQUENCIAIS TRABALHO DE LABORATÓRIO III CIRCUITOS SEQUENCIAIS 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com os elementos básicos de memória (flip-flops). Este trabalho é considerado

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Microcontroladores: Programação em C

Microcontroladores: Programação em C Aula 06 Microcontroladores: Programação em C Prof. Tecgº Flávio Murilo 02/04/2013 1 Microcontroladores PIC São fabricados pela Microchip Technology. PIC significa Programmabile Intelligent Computer, ou

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Capítulo 3 Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Conhecer alguns dos principais circuitos digitais sequenciais

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos

SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Itituto Superior Técnico - Universidade Técnica de Lisboa SISTEMAS DIGITAIS 4º Trabalho de Laboratório Contadores e Registos Objectivo: Pretende-se com este trabalho que os alunos se familiarizem com a

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Tutorial de Utilização do Software- Mini CLP FIT

Tutorial de Utilização do Software- Mini CLP FIT Tutorial de Utilização do Software- Mini CLP FIT tsfit-06 SIMULANDO UM PROGRAMA INTRODUÇÃO Este documento descreve a utilização do Mini Controlador FIT e seus recursos de software e hardware, com o intuito

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

CONTROLO DE PONTE BASCULANTE

CONTROLO DE PONTE BASCULANTE TRABALHO DE LABORATÓRIO IV CONTROLO DE PONTE BASCULANTE 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos se familiarizem com a síntese de circuitos sequenciais. Este trabalho é considerado para

Leia mais

Sistemas Digitais. Tutorial Placa de Prototipação - Altera

Sistemas Digitais. Tutorial Placa de Prototipação - Altera Sistemas Digitais Tutorial Placa de Prototipação - Altera Monitoria SD 2012.1 Daniel Alexandro/Vanessa Ogg/Gustavo Benevides/Gilliano Ginno/Sidney Marlon Editado por (DARA) Placa Altera 1 Antes de Usar

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Electrónica dos Sistemas Embebidos. Guia de Laboratório IV

Electrónica dos Sistemas Embebidos. Guia de Laboratório IV Electrónica dos Sistemas Embebidos Guia de Laboratório IV IST-2016 Motivação Objectivo Neste laboratório ir-se-á programar uma fpga spartan 3-e da Xilinx para implementar o jogo pong num monitor vídeo.

Leia mais

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Abstração do Mundo Real A Maioria das pessoas quando utilizam um computador para jogar ou ver um filme, não sabe exatamente o que acontece dentro

Leia mais

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62 ANEXO I O Ambiente de desenvolvimento MpLab IDE v6.62 Como ferramenta de desenvolvimento do código dos microcontroladores utilizou-se o MpLab IDE v6.62 da Microchip. A linguagem de programação utilizada

Leia mais

Laboratório sobre a Implementação de Sistemas Digitais com HDLs Captura, Validação, Síntese, Implementação e Teste

Laboratório sobre a Implementação de Sistemas Digitais com HDLs Captura, Validação, Síntese, Implementação e Teste Laboratório sobre a Implementação de Sistemas Digitais com HDLs -Captura, Validação, Síntese, Implementação e Teste 1 Laboratório sobre a Implementação de Sistemas Digitais com HDLs Captura, Validação,

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200

Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200 Tutorial do 2º Experimento: Programação do CLP Siemens S7-1200 Ambiente do software TIA Portal V11 da Siemens Criando um novo projeto 1 Abrir o software TIA Portal V11. Iniciar - > Programas - > TIA Portal

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

FAQ v0.4.3. Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1

FAQ v0.4.3. Perguntas frequentes relacionadas. com ISE da Xilinx e ferramentas associadas. Versão da ferramenta: Xilinx ISE 10.1 UTL/IST FAQ v0.4.3 Perguntas frequentes relacionadas com ISE da Xilinx e ferramentas associadas Versão da ferramenta: Xilinx ISE 10.1 Departamento de Engenharia Electrotécnica e de Computadores Instituto

Leia mais