ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

Tamanho: px
Começar a partir da página:

Download "ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica"

Transcrição

1 ELT6 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Máquinas de estados finitos Prof. Rodrigo de Paula Rodrigues

2 ircuitos sequenciais Q Q Q Q F E D ELT6 Eletrônica Digital II

3 Máquinas de estado Mealy Moore X q Z Q Q D Z = f(q, X) Q = g(q,x) X Q D clk Q q Z clk Z = f(q) Q = g(q,x) ELT6 Eletrônica Digital II

4 omportamento F E Mudança de estado D Geração das saídas ELT6 Eletrônica Digital II

5 Modelo em Verilog Mealy Moore LK, X q, X LK, X q Q f( q, X ) Z g( q, X ) Q f( q, X ) Z g( q ) ELT6 Eletrônica Digital II

6 Modelo em Verilog E A F B Z D Estado Q f( q, X ) Saídas Z g( q, X ) Definição dos estados Geração de transições Geração das saídas ELT6 Eletrônica Digital II

7 Definição de estados constantes parâmetros `define parameter ELT6 Eletrônica Digital II

8 Definição de constantes nome único à constante `define nome valor palavra reservada valor numérico para a constante ELT6 Eletrônica Digital II

9 Definição de constantes `define S 3 b `define S2 3 b `define S3 3 b case ( estado ) `S: estado <= `S2; `S2: estado <= `S; `S3: estado <= `S3; endcase ELT6 Eletrônica Digital II

10 Parâmetros nome único para o parâmetro [ ] parameter [a:b] nome = valor ; palavra reservada limites valor numérico para o parâmetro [ ] parâmetro opcional ELT6 Eletrônica Digital II

11 odificação de estados Binária Gray One-hot `define S 2 b `define S2 2 b `define S3 2 b parameter S = 2 b; parameter S2 = 2 b; parameter S3 = 2 b; `define S 2 b `define S2 2 b `define S3 2 b parameter [:] S = 2 b; parameter [:] S2 = 2 b; parameter [:] S3 = 2 b; `define S 3 b `define S2 3 b `define S3 3 b parameter S = 3 b; parameter S2 = 3 b; parameter S3 = 3 b; ELT6 Eletrônica Digital II

12 Exemplo: Detecção da sequência Mealy Moore / / A / / / B / A D B ELT6 Eletrônica Digital II

13 Exemplo: Detecção da sequência depende da definição dos estados module mef( LK, RST, X, S ); input LK, X, RST; // entradas output S; // saída reg S; // registros reg [:] E; // registros (estado atual) variável de estado declaração de estados transição de estados endmodule geração das saídas ELT6 Eletrônica Digital II

14 Exemplo: Detecção da sequência Mealy / Binária Gray one-hot / A / / B `define SA 2 b / `define SB 2 b `define S 2 b `define SA 2 b `define SB 2 b `define S 2 b `define SA 3 b `define SB 3 b `define S 3 b / ELT6 Eletrônica Digital II

15 Exemplo: Detecção da sequência Mealy Transição de estados posedge LK or posedge RST ) begin / A / / / / B / end if ( RST == b ) E <= `SA; else begin end case (E) `SA: if ( X == b ) E <= `SB; else E <= `SA; `SB: if ( X == b ) E <= `SB; else E <= `S; `S: if ( X == b ) E <= `SB; else E <= `SA; default: E <= `SA; endcase ELT6 Eletrônica Digital II

16 Exemplo: Detecção da sequência Mealy Geração das saídas E or X ) begin / A / / / B / case (E) `SA: S <= b; `SB: S <= b; `S: if ( X == b ) S <= b; else S <= b; / end default: S <= b; endcase ELT6 Eletrônica Digital II

17 Exemplo: Detecção da sequência Mealy Descrição / / A / / B / RTL Mapeada na tecnologia / ELT6 Eletrônica Digital II

18 Exemplo: Detecção da sequência Moore A D B Binária parameter SA=2 b; parameter SB=2 b; parameter S=2 b; parameter SD=2 b; Gray parameter SA=2 b; parameter SB=2 b; parameter S=2 b; parameter SD=2 b; one-hot parameter SA=4 b; parameter SB=4 b; parameter S=4 b; parameter SD=4 b; ELT6 Eletrônica Digital II

19 Exemplo: Detecção da sequência Moore Mealy Transição de estados posedge LK or posedge RST ) begin A D B end if ( RST == b ) E <= SA; else case (E) SA: if ( X == b ) E <= SB; else E <= SA; SB: if ( X == b ) E <= SB; else E <= S; S: if ( X == b ) E <= SD; else E <= SA; SD: if ( X == b ) E <= SB; else E <= SA; default: E <= SA; // necessário? endcase ELT6 Eletrônica Digital II

20 Exemplo: Detecção da sequência Moore Mealy Geração das saídas A D B E ) begin end case (E) SA: S <= b; SB: S <= b; S: S <= b; SD: S <= b; endcase ELT6 Eletrônica Digital II

21 Exemplo: Detecção da sequência Moore Mealy Descrição RTL A D B Máquina de estados Possibilidades if else bloco sequencial único codificação one-hot ELT6 Eletrônica Digital II

22 Exercícios Desenvolva uma máquina de estados para controlar uma máquina de venda de refrigerantes com as seguintes características: Entradas M Moeda de UND N Nota de 2 UND Pedido de produtos R Refrigerante, a 2 UND L Lanche, a 3 UND Opções ancelar. Devolver UND. Saídas de liberação LR Refrigerante LL Lanche LM Liberar moeda Utilize o modelo de Moore. Máximo valor: 3 UND Permitir recebimento PM Permitir receber moedas PN Permitir receber notas ELT6 Eletrônica Digital II

23 Exercícios N es: Estado inicial es elm2 es: unidade adicionada es2: 2 unidades adicionadas es3: 3 unidades adicionadas M es M elm: Liberar moeda para situação elm2: Liberar moeda para situação 2 elm3: Liberar moeda para situação 3 elr: Liberar refrigerante para situação elr2: Liberar refrigerante para situação 2 ell: Liberar lanche elm elr elr2 N R R L es2 es3 M elm3 ell ELT6 Eletrônica Digital II

24 Obrigado ELT6 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito

Leia mais

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Máquina de Estados Transições Estados Em Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (rad) O que é? É uma modelagem de um comportamento, composto por estados, transições

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HL Inferência de elementos de memória Prof. Rodrigo de Paula Rodrigues Inferência de elementos de memória Forma direta J

Leia mais

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino Máquinas de Estados Finitos Aula 19 Prof. Abel Guilhermino Definição Um sistema seqüencial deve ter a capacidade de capturar a influência de todas as entradas passadas sobre as saídas atuais e futuras.

Leia mais

Introdução às máquinas de estado finitas

Introdução às máquinas de estado finitas Introdução às máquinas de estado finitas João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ

UNIVERSIDADE FEDERAL DE ITAJUBÁ UIVESIDADE FEDEA DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação ABOATÓIO DE EETÔIA DIGITA II ET041/ET611 Atividade de aboratório 7 Aluno: at.: Aluno: at.: Objetivos: Turma: Familiarizar

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 2. Material utilizado: Ambiente Eclipse 3. Introdução: 3.1

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Máquinas de Estado Disciplina: CMP238 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2007 Maquinas de Estado Tipo Melay Entrada estado f Reset Proximo estado clk saida LOGIC

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Máquinas de Estados Finitos de Paula Rodrigues Contexto Aplicações dos Flip-flops síncronos Armazenamento de dados Q Transferência

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Operações aritméticas Prof. Rodrigo de Paula Rodrigues Valores lógicos possíveis 0 1 x z nível baixo, nível alto, nível

Leia mais

VERILOG. Alex Vidigal Bastos

VERILOG. Alex Vidigal Bastos VERILOG Alex Vidigal Bastos Sumário Objetivos Introdução Hierarquia Estruturas de Modelagem Objetivos Identificar os modelos de componentes do Verilog, incluindo módulos, instâncias e portas; Correta sintaxe

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Maquinas de Estados Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Maquinas de Estado Tipo Melay and Moore Entrada estado f Reset

Leia mais

Tutorial Verilog 1ª Edição

Tutorial Verilog 1ª Edição UFPE Universidade Federal de Pernambuco CIn Centro de Informática Tutorial Verilog 1ª Edição 2011.1 Felipe de Assis Souza (fas5) INTRODUÇÃO Resolvi elaborar este documento após pagar a cadeira de Sistemas

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Histórico e Descrição estrutural Prof. Rodrigo de Paula Rodrigues Verilog Histórico 1983... 1987 1988 1989... 1995...

Leia mais

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Abstração do Mundo Real A Maioria das pessoas quando utilizam um computador para jogar ou ver um filme, não sabe exatamente o que acontece dentro

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL vs. VHDL Verilog HDL é mais

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 02 Sistemas numéricos de Paula Rodrigues Sistemas numéricos Contexto Quantidade Representação 10110 base Y 92892340 base X

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Projecto de Sistemas Digitais

Projecto de Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Duração máxima: 60 minutos, sem consulta. Projecto de Sistemas Digitais 4º ano - 1º semestre 2º miniteste 17 de Dezembro 2007 NOME: [5 valores]

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

SystemVerilog para descrição RTL

SystemVerilog para descrição RTL SystemVerilog para descrição RTL Curso do Brazil-IP Elmar Melcher UFCG elmar@dsc.ufcg.edu.br The BrazilIP Network Fluxo de projeto (simplificado) Especificação Descrição comportamental Descrição RTL Descrição

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

CD AB Exame Sistemas Digitais - MEEC 2011/12 1

CD AB Exame Sistemas Digitais - MEEC 2011/12 1 Exame Sistemas Digitais - MEE /. [ val] onsidere a função lógica ( ). a) Escreva a tabela de verdade da função. b) presente o Mapa de Karnaugh para esta função. c) Indique quais os implicados primos essenciais

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS IRUITOS SEQUENIIS SÍNRONOS Setembro de IRUITOS SEQUENIIS SÍNRONOS - 2 SUMÁRIO: IRUITOS E MOORE E MELY RTERIZÇÃO ESPEIFIÇÃO SÍNTESE ONVERSÃO EEMPLOS PROJETOS LTERNTIVOS FLIP-FLOP / ESTO UTILIZÇÃO E ONTORES

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 8 Máquina de Estados Professor Dr. Michael Klug 1 Lembrando Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação dos valores das entradas neste

Leia mais

Modelação de máquinas de estados

Modelação de máquinas de estados Modelação de máquinas de estados FSM (Finite State Machine) sequência determinada de estados, síncrono com relógio estrutura geral saídas (Moore) entradas saída saídas (Mealy) saída saídas (Moore) reset

Leia mais

Licenciatura em Engenharia Informática e Computação. Arquitectura de Computadores Exame Modelo

Licenciatura em Engenharia Informática e Computação. Arquitectura de Computadores Exame Modelo FEUP Licenciatra em Engenharia Informática e Comptação Arqitectra de Comptadores Eame odelo o ano 6-XX-XX Dração: Hm Sem conslta Atenção: Este eame tem 6 qestões em 5 páginas. Jstifiqe todas as respostas.

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Circuitos Seqüenciais Sistemas Digitais

Circuitos Seqüenciais Sistemas Digitais 2 ircuitos Seqüenciais Sistemas igitais Muitos sistemas digitais são pulsados ou clocked. Isto é, eles operam em sincronismo com um trem de pulsos de período chamado relógio (clock). n n+ ula GEO-I-UFPE

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/ EELi02 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ TABELAS DE TRANSIÇÃO DE ESTADOS Q a Q f J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 Q a Q f D 0 0 0 0 1 1 1 0 0 1 1 1

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

Universidade do Porto Faculdade de Engenharia

Universidade do Porto Faculdade de Engenharia Sistemas igitais, 2ª chamada - 5/Jul/2000 (Prova ) Página 1 Universidade do Porto Faculdade de Engenharia Sistemas igitais (1999/2000) 2ª chamada - 5/Julho/2000 uração: 2h 30m, sem consulta. ntes de iniciar

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc)

Aprendendo a utilizar a Ferramenta Modelsim. Professor: Lucas Cambuim (lfsc) Aprendendo a utilizar a Ferramenta Modelsim Professor: Lucas Cambuim (lfsc) Visão da Ferramenta ModelSim É um simulador computacional para análise de sistemas digitais Visão da Ferramenta ModelSim Possui

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Algoritmos e Programação

Algoritmos e Programação Algoritmos e Programação Aula 4 Estruturas de Condição Profa. Marina Gomes marinagomes@unipampa.edu.br 06/04/2017 Engenharia de Computação - Unipampa 1 Aula de Hoje Estrutura condicional simples Utilização

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-T 2. Máquinas Sequenciais Síncronas. Modelos de Moore

Leia mais

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore Demonstração de imagens de auxílio didático VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore ISBN 85-216-1452-7 Editora LTC www.ltceditora.com.br Para imagens de um curso completo consulte:

Leia mais

CURSO BÁSICO DE VERILOG

CURSO BÁSICO DE VERILOG CURSO BÁSICO DE VERILOG Seção 1: Visão geral do Verilog Verilog não é uma linguagem de programação de software. Uma linguagem de programação de software é utilizada para executar funções em um processador

Leia mais

INSTITUTO FEDERAL CATARINENSE Campus Ibirama

INSTITUTO FEDERAL CATARINENSE Campus Ibirama INSTITUTO FEDERAL CATARINENSE Campus Ibirama Estruturas de Decisão Professor Eduardo Stahnke Estruturas de Decisão As estruturas de decisão são utilizadas quando existe a necessidade de verificar condições

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

Licenciatura em Engenharia Informática e Computação. Arquitectura de Computadores Exame

Licenciatura em Engenharia Informática e Computação. Arquitectura de Computadores Exame FEUP Licenciatra em Engenharia Informática e Comptação Arqitectra de Comptadores Eame o ano 6-6-3 Dração: Hm Sem conslta Atenção: Este eame tem 6 qestões em 5 páginas. Jstifiqe todas as respostas e apresente

Leia mais

CONVERSOR ANALÓGICO DIGITAL POR APROXIMAÇÕES SUCESSIVAS

CONVERSOR ANALÓGICO DIGITAL POR APROXIMAÇÕES SUCESSIVAS UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE UFRN CENTRO DE TECNOLOGIA CT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE ELE0622 INSTRUMENTAÇÃO ELETRÔNICA CONVERSOR ANALÓGICO DIGITAL POR APROXIMAÇÕES SUCESSIVAS

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica ELT52 Eletrônica Digital I Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 9 Circuitos aritméticos Parte 2/2 Aritmética BCD e ULA de Paula Rodrigues Circuitos aritméticos

Leia mais

2.2.5 EXPRESSÕES - Regras para o cálculo de valores

2.2.5 EXPRESSÕES - Regras para o cálculo de valores Métodos de Programação I Departamento de Matemática, FCTUC 30 2.2.5 EXPRESSÕES - Regras para o cálculo de valores As expressões fornecidas ao computador, quer tenham variáveis, constantes ou apenas literais,

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

Introdução ao Fortran 90-3

Introdução ao Fortran 90-3 Introdução ao Fortran 90-3 Departamento de Física UFPel Controles de Fluxo de Execução Definição Estruturas (ou blocos) de programação que permitem controlar o fluxo de execução de um programa, além da

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

Electrónica dos Sistemas Embebidos. Guia de Laboratório III

Electrónica dos Sistemas Embebidos. Guia de Laboratório III Electrónica dos Sistemas Embebidos Guia de Laboratório III IST-2017 Motivação Na última década assistimos a um crescimento muito significativo de funcionalidades implementadas em circuitos electrónicos.

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS IRUITOS SEQUENIIS SÍNRONOS Setembro de 4 IRUITOS SEQUENIIS SÍNRONOS - 2 SUMÁRIO: IRUITOS E MOORE E MELY RTERIZÇÃO ESPEIFIÇÃO SÍNTESE ONVERSÃO EEMPLOS PROJETOS LTERNTIVOS FLIP-FLOP / ESTO UTILIZÇÃO E ONTORES

Leia mais

Linguagens de descrição de hardware. Modelação de um circuito (digital) com HDL. fluxo de projecto seguindo uma metodologia top-down

Linguagens de descrição de hardware. Modelação de um circuito (digital) com HDL. fluxo de projecto seguindo uma metodologia top-down Linguagens de descrição de hardware Modelação de um circuito (digital) com HDL fluxo de projecto seguindo uma metodologia top-down descrição em HDL, validação, síntese automática (RTL->lógico) descrições

Leia mais

Máquinas de estado. Rodrigo Hausen ... saída próx. estado. entrada estado. Circuito combinacional para o cálculo do próximo estado.

Máquinas de estado. Rodrigo Hausen ... saída próx. estado. entrada estado. Circuito combinacional para o cálculo do próximo estado. Máquinas de estado Rodrigo Hausen Modelo geral de máquinas de estado Y n Y Y Entrada de dados Memória Saída de dados n entrada estado atual saída próx. estado Circuito combinacional para o cálculo do próximo

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais Saídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABRE sistema digital de controlo

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

Introdução ao Fortran 90-4

Introdução ao Fortran 90-4 Introdução ao Fortran 90-4 Departamento de Física UFPel Controles de Fluxo de Execução Definição Estruturas (ou blocos) de programação que permitem controlar o fluxo de execução de um programa, além da

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. SEL Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. SEL Sistemas Digitais Prof. Homero Schiabel ANÁLISE DE SIST SEQUENCIAIS SÍNCRONOS SEL 414 - Sistemas Digitais Prof Homero Schiabel MODELOS DE SISTEMAS SEQUENCIAIS Introdução Sequência de cintilação de um conjunto de lâmpadas: 1 2 3 4 5 Soar um alarme

Leia mais

Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais.

Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais. Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais. A construção dos CI tem por base um processo tecnológico que, no caso do hardware digital, evoluiu dramaticamente

Leia mais

AULA 9 - IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. pág. 342 a 346.

AULA 9 - IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. pág. 342 a 346. AULA 9 - IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. pág. 342 a 346. 1.) Introdução: Quando o número de variáveis em um problema passa de 05 variáveis,

Leia mais

Licenciatura em Engenharia Informática DEI/ISEP Linguagens de Programação 2006/07

Licenciatura em Engenharia Informática DEI/ISEP Linguagens de Programação 2006/07 Licenciatura em Engenharia Informática DEI/ISEP Linguagens de Programação 2006/07 Ficha 3 Autómatos Finitos Objectivos: Introdução ao conceito de Autómato Finito e notações utilizadas na sua representação;

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais