Dispositivos de Lógica Programável

Tamanho: px
Começar a partir da página:

Download "Dispositivos de Lógica Programável"

Transcrição

1 Dispositivos de Lógica Programável

2 Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple PLD ( ROM, PLA, PAL) << 600 portas ASIC s Applications Specific Integrated Circuits Década de 1980 >> 600 portas Multiple PLD CPLD FPGA Field Programmable Gate Array

3 Introdução Basicamente, o projeto de um sistema digital consiste em determinar a função lógica que traduz o funcionamento do mesmo de acordo com estímulos recebidos. A partir disso podemos implementar um circuito lógico complexo que execute essa função a partir de circuitos lógicos simples.

4 O que escolher? Projetista tem o desafio de encontrar o balanço entre velocidade e generalidade do hardware Chip genérico Microcontroladores Muitas funções Sacrifício de desempenho Chip dedicado ASICS Aplicação específica alta velocidade baixo consumo só se justifica em grande quantidade, pois alterações do projeto não são possíveis

5 Lógica Programável Os componentes da lógica programável são dispositivos que possuem em sua lógica interna centenas (ou milhares) de portas lógicas, flip-flops e registradores; que são interligados internamente. Essas interconexões são os pontos programáveis da lógica. Podemos então programar essas conexões para permanecerem fechadas ou abertas, de acordo com a necessidade do projeto. Essas interconexões podem ser entendidas como fusíveis, que de acordo com a necessidade do projeto podem ou não ser queimados (desfazendo ou não a conexão entre portas lógicas). Essa queima é realizada pelo projetista, utilizando um software de programação do dispositivo.

6 Dispositivos de Lógica Programável

7 PLA Soma de Produtos 3 Entradas X1, X2, X3 2 Saídas Z1, Z2 Um bloco AND configurável com 6 entradas Um Bloco OR Configurável com 6 entradas

8 PAL Soma de Produtos 3 Entradas X1, X2, X3 2 Saídas Z1, Z2 Apenas bloco AND configurável com 6 entradas Bloco OR fixo

9 FPGA FPGA (Field Programmable Gate Array ou Arranjo de portas programável em campos) Composto por um conjunto de células lógicas configuráveis e contidos em um único integrado. Cada célula contém capacidade de implementar funções lógicas (combinacionais ou sequenciais) e roteamento para comunicação entre elas. A função a ser implementada é definida pelo usuário usando alguma ferramenta que permita a entrada de projeto para especificar o estado dos pontos de programação internos.

10 FPGA Elementos Básicos Blocos de entrada/saída configuráveis (I/O Blocks): são componentes de entrada/saída formados por estruturas bidirecionais que incluem buffer, flip-flop de entrada, buffer tri-state e flip-flop de saída. Interconexões Programáveis (Programmable InterConnect): pode ser do tipo SRAM, Antifusível ou EPROM. São como interruptores programáveis, geram as pistas de ligação entre os blocos lógicos e blocos de entrada e saída. Blocos Lógicos Configuráveis (Logic Block): pode ser tão simples como um transistor ou tão complexo quanto um microprossessador. Este bloco é capaz de implementar várias funções combinacionais (através de look-up-table) e seqüenciais (através de flip-flops).

11 FPGA Estrutura Básica Blocos Lógicos Configuráveis Linhas de Roteamento Blocos de Entrada e Saída Matriz de Roteamento

12 FPGA Bloco lógico

13 FPGA - Conexões

14 Ferramenta de Desenvolvimento EDA ( Eletronic Design Automation ) Especificação do comportamento do FPGA, utilizando Linguagem de Descrição de Hardware ( HDL ) ou diagramas esquemáticos. Sintetizar o circuito obedecendo restrições impostas pelo projetista ( espaço / velocidade ). Verificar o funcionamento do circuito através de simulação. Gera relatórios estatísticos com dados do comportamento e desempenho do circuito.

15 Ferramenta de Desenvolvimento Fabricante Xilinx Xilinx Foundation Series Xilinx Foundation ISE Fabricante Altera MAX-PLUS MAX_PLUS II Quartus Quartus II

16 HDL Linguagem de descrição de Hardware VHDL ( Very High Speed Integrated Circuit Hardware Description Language) é uma linguagem padronizada de HDL ratificada em 1987 pelo IEEE Serve para modelar a estrutura ou comportamento do Hardware Comportamento : Descreve-se o circuito pensando no seu funcionamento. Ex. Descrição algorítmica de uma porta AND Estrutural : Descreve-se o circuito pensando na arquitetura Ex. Descrição da porta lógica AND

17 Programação VHDL Ex.: Flip-Flop D

18 Vantagens do FPGA Alto desempenho. Facilidade de projeto. Tempo de projeto reduzido. Confiabilidade e flexibilidade. Redução de custos.

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 26/08/2015 Prof. Alexandre - ELP1DLP1 1 26/08/2015 Prof. Alexandre - ELP1DLP1 2 INTRODUÇÃO A LÓGICA DIGITAL Circuitos Integrados (CI): Fonte: Brown, S., Vranesic,

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Sempre que ocorre uma grande

Sempre que ocorre uma grande Nova abordagem para o ensino de Eletrônica Digital A tecnologia digital cresce de forma exponencial, novos equipamentos e sistemas são especialmente projetados para trabalhar em Automação. O projeto e

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Standards para Memórias

Standards para Memórias Standards para Memórias Os fabricantes de memórias utilizam várias notações para a descrição dos sinais de temporização das memórias. Na tentativa de uniformizar essas notações foi proposto um standard

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

PUC-Rio. Dispositivos Lógicos Programáveis

PUC-Rio. Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis 1 Dispositivos Lógicos Programáveis PLDs (Programmable Logic Devices) são circuitos integrados cujas conexões internas podem ser programadas pelo usuário de modo a realizar

Leia mais

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti Dispositivos Lógicos Programáveis Prof. Luiz Fernando Copetti copetti@utfpr.edu.br luizcopetti@gmx.de Luiz Fernando Copetti Mestre em Ciências UTFPR 2008 Engenheiro Eletrônico UTFPR - 1991 Engenheiro de

Leia mais

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário UTP128-84 Placa de Desenvolvimento de Sistemas Digitais T&S Equipamentos Eletrônicos R. Raul La Sierra Pereira, 140 Jd. Bandeirante CEP: 13562-170 São Carlos

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Projeto Moderno de Sistemas Digitais

Projeto Moderno de Sistemas Digitais Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2 Tecnologias de Lógica

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-3451- Projeto de Circuitos Lógicos Integrados Pequeno Manual da Família Cyclone II (2017) Conteúdo:

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro Processador A função de um computador é executar tarefas com a finalidade de resolver problemas. Uma tarefa

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba.

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba. Universidade Federal da Bahia Escola Politécnica Programa de Pós Graduação em Engenharia Elétrica Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável

Leia mais

Tecnologias Digitais

Tecnologias Digitais Tecnologias Digitais MicroControlador Portas Lógicas PAL Processado r ARM CPLD FPGA Sinais analógicos e Digitais Sinais analógicos e Digitais Os sinais são analógicos quando as tensões medidas ao longo

Leia mais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais 2 Sistemas Digitais Aula 2 Introdução à Sistemas Embarcados Prof. Abel Guilhermino Centro de Informática Universidade Federal de Pernambuco Circuitos Digitais Representação Numérica Analógica As entradas

Leia mais

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro airton.ribeiros@gmail.com Processador A função de um computador é executar tarefas com a finalidade de

Leia mais

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP Componentes Programáveis pelo Utilizador PLD, CPLD e FPGAs José Miguel Vieira dos Santos jvs@isep.ipp.pt JMVS - SACP 2005 1 PLD- Progammable Logic Devices O termo PLD abrange uma vasta gama de componentes

Leia mais

ELETRÔNICA DIGITAL. Parte 4 Funções Lógicas - Circuitos Integrados. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 4 Funções Lógicas - Circuitos Integrados. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 4 Funções Lógicas - Circuitos Integrados Professor Dr. Michael Klug 1 2 ALGEBRA BOOLEANA George Boole (1854): Uma investigação das Leis do Pensamento modo como tomamos decisões

Leia mais

Field Programmable Gate Array - FPGA / Minicurso teórico-prático em Computação Híbrida

Field Programmable Gate Array - FPGA / Minicurso teórico-prático em Computação Híbrida Field Programmable Gate Array - FPGA Minicurso teórico-prático em Computação Híbrida Vitor C. F. Gomes, Andrea S. Charão, Haroldo F. C. Velho Última atualização: 9 de junho de 2014 Instituto de Estudos

Leia mais

Tutorial PET-Tele. Circuitos Digitais Configuráveis

Tutorial PET-Tele. Circuitos Digitais Configuráveis Universidade Federal Fluminense UFF Escola de Engenharia TCE Curso de Engenharia de Telecomunicações TGT Programa de Educação Tutorial PET Grupo PET-Tele Tutorial PET-Tele Introdução aos Circuitos Digitais

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

Introdução aos circuitos integrados de aplicação específica

Introdução aos circuitos integrados de aplicação específica Introdução aos circuitos integrados de aplicação específica João Canas Ferreira 2007-09-17 Tópicos de Projecto de VLSI digital Assuntos Tópicos 1 2 Circuitos programáveis 3 Fluxo de projecto Contém figuras

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

Introdução aos Circuitos Integrados de Aplicação Específica

Introdução aos Circuitos Integrados de Aplicação Específica Introdução aos Circuitos Integrados de Aplicação Específica João Canas Ferreira Projecto de Circuitos VLSI FEUP/LEEC Contém figuras de Application-Specific Integrated Circuits, Michael J. S. Smith, Addison-Wesley

Leia mais

Field Programmable Gate Array - FPGA / Mini-curso de Computação Híbrida Reconfigurável

Field Programmable Gate Array - FPGA / Mini-curso de Computação Híbrida Reconfigurável Field Programmable Gate Array - FPGA Mini-curso de Computação Híbrida Reconfigurável Vitor C. F. Gomes, Andrea S. Charão, Haroldo F. C. Velho Última atualização: 19 de setembro de 2009 Universidade Federal

Leia mais

3 Realização e Caracterização do Módulo Transmissor

3 Realização e Caracterização do Módulo Transmissor 38 3 Realização e Caracterização do Módulo Transmissor Este capítulo tem como objetivo estudar e caracterizar o módulo a ser desenvolvido na transmissão através da introdução de uma ferramenta computacional

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL LÓGICA PROGRAMÁVEL Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM/2002 (revisão da parte experimental) ETM/2004 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para

Leia mais

Arquitetura FPGAs e CPLDs da Xilinx

Arquitetura FPGAs e CPLDs da Xilinx Arquitetura FPGAs e CPLDs da Xilinx Anderson Demetrio, Gustavo Constante, Tamara Arrigoni 09 de Maio de 2016 Resumo Este trabalho descreve brevemente as arquiteturas de dispositivos lógicos programáveis

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Comparações Tecnologias

Comparações Tecnologias Pós Graduação Comparações Tecnologias Aula 1 Prof. Sistemas Embarcados es 01/08/2006 Escola Politécnica 2 Sistemas Embarcados Um Sistema Embarcado é um sistema computacional embutido em um sistema maior,

Leia mais

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Memórias GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr. Danilo

Leia mais

Departamento de Engenharia Elétrica e de Computação. Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Departamento de Engenharia Elétrica e de Computação. Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Departamento de Engenharia Elétrica e de Computação Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1. Introdução: A tecnologia dos circuitos digitais tem se desenvolvido rapidamente

Leia mais

Utilização de Novas Tecnologias na Graduação em Engenharia da Computação. Resumo. 1 Introdução. 2 O Laboratório de Lógica Programável

Utilização de Novas Tecnologias na Graduação em Engenharia da Computação. Resumo. 1 Introdução. 2 O Laboratório de Lógica Programável Utilização de Novas Tecnologias na Graduação em Engenharia da Computação Edson Pedro Ferlin Professor do Curso de Engenharia da Computação Centro Universitário Positivo - UnicenP Caixa Postal 6432 - CEP

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro

Leia mais

Circuitos Lógicos. Prof. Odilson Tadeu Valle

Circuitos Lógicos. Prof. Odilson Tadeu Valle Introdução Circuitos Lógicos Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/44 Sumário 1 Introdução 2 Analógico Versus Digital 3 Bits, Bytes e

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

Computadores dedicados

Computadores dedicados Computadores dedicados CPU processador de usos gerais produção em larga escala, baixo custo ASIP processador para uma área de aplicação desenvolvimento ASIC circuito dedicado para uma aplicação circuito

Leia mais

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO)

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO) LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE 2005- COMPUTAÇÃO) 1) Apesar de todo o desenvolvimento, a construção de computadores e processadores continua, basicamente, seguindo a arquitetura clássica de von

Leia mais

Prof. José Arthur da Rocha. Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ

Prof. José Arthur da Rocha. Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ Circuitos Lógicos Prof. José Arthur da Rocha Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ Programa 1 - Introdução aos Sistemas Digitais 2 - Álgebra de Boole 3 - Circuitos

Leia mais

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array Arquitetura de Computadores FPGA Field Programmable Gate Array Alex Vidigal Bastos Sumário Dispositivos Reconfiguráveis Field Programmable Gate Arrays Funcionamento Desenvolvimento Ferramentas Dispositivos

Leia mais

Circuitos Lógicos e Organização de Computadores

Circuitos Lógicos e Organização de Computadores Circuitos ógicos e Organização de Computadores Capítulo 3 Tecnologia de Ricardo Pannain pannain@puc-campinas.edu.br http://docentes.puc-campinas.edu.br/ceatec/pannain/ Tensão relativas aos níveis lógicos

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

Projeto de Sistemas Embarcados

Projeto de Sistemas Embarcados Projeto de Sistemas Embarcados Pós-Graduação em Engenharia Elétrica Prof. Dr. Joselito A. Heerdt Joselito.heerdt@.udesc.br PLANEJAMENTO 1. Introdução 2. O projeto de sistemas 3. Projeto de hardware 4.

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO AHDL -(Altera Hardware Description Language) Para uso no software MAX+PLUS II Prof. Dr.

Leia mais

SEL-0415 Introdução à Organização de Computadores Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira

SEL-0415 Introdução à Organização de Computadores Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira SEL-0415 Introdução à Organização de Computadores Conceitos Básicos Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira INTRODUÇÃO n Organização Æ implementação do hardware, componentes, construção dos dispositivos

Leia mais

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr.

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.2 PCS 234 Sistemas Digitais II Memórias Dispositivos

Leia mais

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018)

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018) PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (218) Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.12 PCS 234 Sistemas

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis Circuitos Lógicos DCC-IM/UFRJ Prof. 2009 1 Família de Sistemas Digitais 2 Comparação: Velocidade e Consumo VLSI Personalizado ASIC Célula-Padrão ASIC Estruturado CPLD

Leia mais

Vasco Martins Correia

Vasco Martins Correia ChipCflow - uma ferramenta para execução de algoritmos utilizando o modelo a fluxo de dados dinâmico em hardware reconfigurável - operadores e grafos a fluxo de dados Vasco Martins Correia SERVIÇO DE

Leia mais

Sistemas Digitais: Introdução

Sistemas Digitais: Introdução Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Sistemas Digitais: Introdução DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Objetivo: Projetar Sistemas

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

CONCURSO PÚBLICO DE PROVAS E TÍTULOS EDITAL ESPECÍFICO 01/ CAMPUS IBIRITÉ. PROVA OBJETIVA - PROFESSOR EBTT ÁREA/DISCIPLINA: Eletrônica

CONCURSO PÚBLICO DE PROVAS E TÍTULOS EDITAL ESPECÍFICO 01/ CAMPUS IBIRITÉ. PROVA OBJETIVA - PROFESSOR EBTT ÁREA/DISCIPLINA: Eletrônica MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE MINAS GERAIS CAMPUS IBIRITÉ Rua Mato Grosso, 02 Bairro Vista Alegre, CEP

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

Projeto de um Relé de Proteção utilizando a tecnologia de FPGAs

Projeto de um Relé de Proteção utilizando a tecnologia de FPGAs Projeto de um Relé de Proteção utilizando a tecnologia de FPGAs Raphael Silva, Alexandre Guerra, André C. Prado, Celso Souza, Carlos A. Dutra, Sergio L. Zimath Resumo Este artigo apresenta uma forma inovadora

Leia mais

Arquitetura FPGAs e CPLDs da ALTERA RESUMO

Arquitetura FPGAs e CPLDs da ALTERA RESUMO Arquitetura FPGAs e CPLDs da ALTERA RESUMO André Felippe Weber 1 Helenluciany Cechinel 1 Maria Luiza Theisges 1 Marcos Moecke 2 A tecnologia envolvida nos circuitos digitais vem se desenvolvendo cada vez

Leia mais

COORDENADORIA DE EXTENSÃO PROCESSO SELETIVO PARA CURSOS DE EXTENSÃO FORMAÇÃO INICIAL E CONTINUADA (FIC)

COORDENADORIA DE EXTENSÃO PROCESSO SELETIVO PARA CURSOS DE EXTENSÃO FORMAÇÃO INICIAL E CONTINUADA (FIC) COORDENADORIA DE EXTENSÃO PROCESSO SELETIVO PARA CURSOS DE EXTENSÃO FORMAÇÃO INICIAL E CONTINUADA (FIC) EDITAL N 009.2017-IFSP-CMP/2017, DE 29 DE MARÇO DE 2017. O do Instituto Federal de Educação, Ciência

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ

UNIVERSIDADE FEDERAL DO CEARÁ UNIVERSIDADE FEDERAL DO CEARÁ CARLOS RONALDO LUCAS DE OLIVEIRA DESENVOLVIMENTO DE GLUE LOGIC PARA PLATAFORMA DE TELECOMUNICAÇÃO Fortaleza 2007 CARLOS RONALDO LUCAS DE OLIVEIRA DESENVOLVIMENTO DE GLUE LOGIC

Leia mais

Síntese do datapath. Sistemas Digitais síncronos

Síntese do datapath. Sistemas Digitais síncronos Síntese do datapath S.D. - controlo e processamento de informação unidade de processamento (datapath) processamento e comunicação de dados registos, ALUs, outros operadores dedicados (FUs), barramentos

Leia mais

Estrutura Básica de um Computador

Estrutura Básica de um Computador SEL-0415 Introdução à Organização de Computadores Estrutura Básica de um Computador Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira INTRODUÇÃO n Organização Æ implementação do hardware, componentes, construção

Leia mais