SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

Tamanho: px
Começar a partir da página:

Download "SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS"

Transcrição

1 SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas digitais. Serão abordados também princípios de dispositivos programáveis, como as CPLDs e FPGAs. A parte experimental consiste na aplicação de técnicas de simulação em alguns circuitos com o auxílio da ferramenta de software MAX+PLUS II da Altera e a programação de projetos em dispositivos programáveis. O objetivo principal desta experiência é a familiarização com os procedimentos de simulação com uma ferramenta de software e uma breve introdução aos dispositivos programáveis. 1. PARTE TEÓRICA 1.1. Metodologia de Projeto de Sistemas Digitais Para que um sistema digital possa ser implementado eficientemente, é necessário adotar uma metodologia de projeto. Uma possível metodologia de projeto pode ser descrita através do fluxograma mostrado na figura 1.1 abaixo (Ranzini and Horta, 2000). INÍCIO DEFINIÇÃO SÍNTESE DESCRIÇÃO AVALIAÇÃO SIMULAÇÃO OK? N N OK? S S FIM Figura 1.1 Uma metodologia de projeto de sistemas digitais. As principais etapas da metodologia proposta de projeto de sistemas digitais são as seguintes: i. Na etapa de DEFINIÇÃO, o sistema digital (SD) é especificado funcionalmente a partir dos seus sinais de entrada e saída e de suas funcionalidades. Um SD pode ser dividido em diversas partes ou módulos. ii. Na etapa de DESCRIÇÃO são gerados os algoritmos responsáveis pelo funcionamento de cada uma das partes definidas na primeira etapa. Pode ser realizado com um editor gráfico, utilizando símbolos gráficos para cada componente ou módulo já projetado (captura esquemática), ou usando-se uma linguagem de descrição de hardware (HDL). iii. A etapa seguinte (SIMULAÇÃO) envolve a execução de uma simulação do SD, com o objetivo de verificar possíveis erros de concepção do projeto. Procura-se aqui encontrar erros, e em caso afirmativo, uma ação corretiva pode ser tomada. Simulação de Circuitos e Dispositivos Programáveis (2007) 1

2 iv. Na SÍNTESE, cada um dos módulos do SD é transformado em elementos de hardware, para serem implementados fisicamente. v. Na AVALIAÇÃO, estes elementos de hardware são testados para verificar se a implementação do SD está funcionando de acordo com a especificação do projeto. Caso for encontrada qualquer discordância, uma nova síntese pode ser elaborada Simulação de Circuitos Digitais O objetivo da simulação é verificar se o projeto do circuito digital, especificado via captura esquemática ou via linguagem de descrição de hardware (HDL), executa corretamente de acordo com as suas especificações. Há duas categorias de simulação, funcional e temporizada. A simulação funcional simula a operação do circuito a partir de uma perspectiva lógica apenas, sem se preocupar com os atrasos de propagação dos sinais pelos componentes. Todos os dispositivos operam com tempos de atraso, setup e hold iguais a zero. Ela apenas verifica as equações booleanas e o seqüenciamento da máquina de estados. De uma maneira geral, é mais rápida que a simulação temporizada, permitindo assim encontrar erros de projeto mais rapidamente. A simulação temporizada simula a operação do circuito sob uma perspectiva de propagação de sinais pelos componentes. Todos os dispositivos operam com tempos de atraso, setup e hold reais. Ou seja, circuitos logicamente corretos, mas que não obedecem, por exemplo, o tempo de setup de um dos componentes pode produzir resultados diferentes do esperado. Geralmente, esta simulação usa atrasos do pior caso (worst-case delays), de modo que um circuito real deve operar mais rápido que o simulado. Ela é usada para verificar problemas de temporização, mas pode também ser usada para re-verificar as equações booleanas e o seqüenciamento da máquina de estados. Por se tratar de um processo mais demorado, é normalmente usado depois de uma simulação funcional. Várias ferramentas dispõem do recurso de simulação. O MAX+PLUS II oferece este recurso com auxílio do editor de formas de onda, como ilustrado na figura 1.2 abaixo. Figura Editor de formas de onda do MAX+PLUS II Dispositivos Programáveis Os dispositivos lógicos programáveis representam uma nova abordagem no processo de desenvolvimento de sistemas digitais. No passado, os projetistas tinham de usar apenas componentes digitais com "funções fixas" disponibilizados no mercado (contadores, decodificadores, deslocadores, etc). Os dispositivos programáveis permitem ao usuário definir uma função a ser desempenhado por um ou vários chips. As características mais marcantes destes dispositivos é a programabilidade e a alta capacidade, o que aumenta a eficiência e a flexibilidade dos projetos e, também, diminui o tempo de desenvolvimento do produto. (Dueck, 2001) Simulação de Circuitos e Dispositivos Programáveis (2007) 2

3 Lógica Programável Os projetistas de circuitos digitais têm à sua disposição uma grande variedade de CIs padronizados, com as mais variadas funções. O fato destes CIs serem fabricados por várias empresas e em grande volume fazem com que tenham um custo relativamente baixo. Por esta razão, a técnica muito comum para a implementação de projetos de sistemas digitais é a interconexão destes CIs padronizados. (Tocci, 2004) Apesar de ser amplamente empregado, a utilização de CIs padronizados na implementação de sistemas tem alguns problemas. Primeiro, alguns sistemas podem precisar de centenas ou milhares de CIs. Este grande número de CIs necessita de um espaço considerável em uma placa de circuito impresso, sem levar em conta o consumo de energia necessária para alimentá-las. Um segundo aspecto diz respeito com o tempo necessário para a montagem e testes das placas.uma grande quantidade de CIs necessários em um sistema resultam em um tempo maior para colocar e soldar estes componentes na de circuito impresso. E a manutenção é o terceiro aspecto. uanto maior o número de componentes, menor é a confiabilidade do sistema. Assim, o fabricante do equipamento deve manter um estoque considerável, dispondo de toda a variedade de CIs utilizados no circuito. Uma solução para estas questões é reduzir o número de CIs usados no projeto. Com isto teremos uma série de vantagens: um menor espaço na placa, um menor consumo de energia (fontes de alimentação menores), processos de fabricação mais rápidos e baratos, maior confiabilidade e uma manutenção mais fácil. Para reduzir o número de CIs a serem usados no projeto é necessário colocar mais e mais funções nos chips. É claro que isso tem sido feito com as tecnologias LSI, VLSI, ULSI e, mais recentemente, GSI, para funções padronizadas como memórias, microprocessadores, sintetizadores de voz, entre outros. Esses dispostivos contêm milhares a alguns milhões de portas lógicas conectadas para operar de um modo pré-determinado. Existem muitas situações para as quais não existem soluções LSI, VLSI, ULSI e GSI. Nesses casos, o projetista se vê obrigado a recorrer aos dispositivos SSI e MSI padrões para obter as funções necessárias. O recente desenvolvimento de uma nova categoria de dispositivos, conhecidos como dispositivos lógicos programáveis (PLD), ofereceu aos projetistas uma alternativa para substituir um grande número de CIs padronizados por um único CI. Esses dispositivos permitem especificar a sua operação lógica através de um processo chamado programação (Tocci, 2004). Tipos de Dispositivos Lógicos Programáveis Uma grande variedade de dispositivos programáveis foi desenvolvida nos últimos tempos. Podemos citar, por exemplo, os seguintes: (Tocci, 2004), (Wakerly, 2006), (Fregni e Saraiva, 1995) PROM (Programmable Read-Only Memory) precursor dos PLDs, a PROM pode gerar qualquer função lógica possível das variáveis de entrada. Contudo é usada apenas para um pequeno número de variáveis de entrada; PLA (Programmable Logic Array) desenvolvido em meados da década de 70, foi o primeiro dispositivo programável sem a estrutura interna da PROM, pois tanto a matriz das portas AND como a matriz das portas OR podem ser programadas. Não teve boa aceitação por parte dos projetistas; PAL (Programmable Array Logic) contém uma arquitetura interna similar a da PROM, sendo uma simplificação da PLA, pois apenas as conexões das entradas da matriz das portas AND são programáveis (a matriz das portas OR é fixa). É há muito tempo o tipo de dispositivo programável mais utilizado; CPLD (Complex Programmable Logic Device) combina vários dispositivos do tipo PAL em uma estrutura em forma de matriz. Os blocos lógicos têm conexões AND programáveis e conexões OR fixas. uando necessário, vários blocos lógicos podem ser combinados para implementar; FPGA (Field Programmable Gate Array) contém um grande número de blocos lógicos que podem ser programados independentemente. Esses blocos contêm lógica combinatória e registradores para circuitos seqüenciais; A Altera produz a família de CPLDs MAX7000S, em particular o EPM7128SLC84 que contém portas utilizáveis, 128 macrocélulas, 8 LABs, 8 pinos de I/O por LAB (totalizando 64 pinos de I/O). Linguagens de Descrição de Hardware Uma alternativa à entrada esquemática de um circuito digital em um sistema de projeto auxiliado por computador é utilizar a técnica de projeto de PLDs baseado em uma ferramenta de projeto baseado em Simulação de Circuitos e Dispositivos Programáveis (2007) 3

4 texto ou linguagem de decrição de hardware (HDL). Exemplos de HDLs são o AHDL (Altera Hardware Description Language) e os padrões VHDL e Verilog. O projetista cria um arquivo de texto, seguindo certo conjunto de regras, conhecido como sintaxe da linguagem, e usa um compilador para criar dados de programação do dispositivo lógico programável (PLD). Esta descrição de hardware pode ser usada para gerar projetos hierárquicos, ou seja, um componente definido em uma descrição pode ser usado para gerar um hardware específico ou ser usado como parte de outro projeto. Uma grande vantagem das HDLs em relação à entrada esquemática é que elas podem representar diretamente equações booleanas, tabelas verdade e operações complexas (p.ex. operações aritméticas). Linguagem VHDL O nome VHDL é um acrônimo de VHSIC Hardware Description Language. Já o termo VHSIC é o acrônimo de Very High Speed Integrated Circuit Assim podemos traduzir o nome VHDL como algo do tipo "linguagem de descrição de hardware para circuitos integrados de velocidade muito alta". A linguagem VHDL foi originalmente desenvolvida por empresas contratadas pelo governo americano e agora é um padrão requerido pro todos os ASICs (Application Specific Integrated Circuits) projetados para o exército americano. Ele foi padronizado pelo IEEE em 1987 (Padrão ou VHDL 87) e foi atualizado em 1993 (Padrão ou VHDL 93). Os trabalhos do IEEE continuam e uma nova revisão está em desenvolvimento (VHDL 200x). Todo arquivo VHDL requer ao menos duas estruturas: uma declaração de entidade e uma arquitetura. A declaração de entidade define os aspectos externos da função VHDL, isto é, os nomes das entradas e saídas e o nome da função. A arquitetura define os aspectos internos, isto é, como as entradas e saídas influem no funcionamento e como se relacionam com outros sinais internos. Um exemplo de uma descrição VHDL é mostrado a seguir. -- somador de 4 bits: descrição comportamental VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity somador_4bits is port(b, A : in std_logic_vector(3 downto 0); C0 : in std_logic; S : out std_logic_vector(3 downto 0); C4 : out std_logic); end somador_4bits; architecture comportamental of somador_4bits is signal soma : std_logic_vector(4 downto 0); begin soma <= ('0' & A) + ('0' & B) + ("0000" & C0); C4 <= soma(4); S <= soma(3 downto 0); end comportamental; Os sinais de entrada e saída são do tipo std_logic e std_logic_vector. O tipo std_logic é definido no pacote ieee.std_logic_1164 e pode assumir os valores 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H' ou '-'. O tipo std_logic_vector representa um vetor de bits. O somador possui 3 sinais de entrada: A, B e C0, e 2 sinais de saída: S e C4. São usados dois operadores: + representa uma adição e & representa uma concatenação de bits. Assim, '0' & A representa um vetor de 5 bits contendo '0' A(3) A(2) A(1) A(0). Após a realização de uma operação de adição com os sinais de entrada, a descrição atribui o bit mais significativo de soma a C4 e os outros bits em S. Simulação de Circuitos e Dispositivos Programáveis (2007) 4

5 2. PARTE EXPERIMENTAL 2.1. Atividades Pré-Laboratório Nesta experiência será usado o software MAX+PLUS II da Altera para a simulação dos circuitos abaixo. Consulte as seções relevantes da apostila "Projeto de Circuitos com MAX+PLUS II" (disponível na página Internet do curso) para instruções de uso do programa (criação de um projeto de circuito, compilação, simulação e programação) Circuito Assíncrono Seja o circuito da figura 2.1 abaixo. D C K 1 1 D D 2 3 C K C K 2 3 A D C K 4 4 Figura Circuito a ser simulado. a) Desenhe este circuito no MAX+PLUS II usando o editor gráfico, não se esquecendo de criar um projeto para ele. Em seguida, salve e verifique a presença de erros. b) Simule o comportamento do circuito, conforme descrito na apostila "Projeto de circuitos com MAX+PLUS II". DICA: Imprima a forma de onda obtida para ser anexada no relatório. c) Explique a forma de onda obtida a partir da simulação. d) Apresente as formas de onda esperadas para os sinais 1, 2, 3, 4 e A caso os flip-flops e a porta NAND não apresentassem atrasos de propagação. Compare-as com aquelas obtidas na simulação. e) ual foi a influência destes atrasos nos componentes na diferença nas formas de onda do sinal 4 dos itens (b) e (d)? f) Repita a simulação para um "grid size" maior (por exemplo, 1 ms) 1. Houve alguma mudança nas formas de onda? Analise Descrição VHDL e Programação de Dispositivo Programável a) Estude a descrição VHDL abaixo para um decodificador 3x8 e introduza comentários para cada linha. A descrição é baseada na função booleana de cada uma das saídas s do decodificador em função dos sinais de entrada x. 1 Não esqueça também de alterar o valor do parâmetro end time, que especifica o tempo de fim de simulação. Simulação de Circuitos e Dispositivos Programáveis (2007) 5

6 -- Descrição VHDL adaptada do livro "Projeto, Desempenho e Aplicações de Sistemas -- Digitais em Circuitos Programáveis (FPGAs)" -- Bless Gráfica e Editora Ltda., library ieee; use ieee.std_logic_1164.all; entity dec3x8 is port( x: in std_logic_vector(2 downto 0); s: out std_logic_vector(7 downto 0)); end dec3x8; architecture arch_dec3x8 of dec3x8 is begin s(0) <= not(x(2)) and not(x(1)) and not(x(0)); s(1) <= not(x(2)) and not(x(1)) and x(0); s(2) <= not(x(2)) and x(1) and not(x(0)); s(3) <= not(x(2)) and x(1) and x(0); s(4) <= x(2) and not(x(1)) and not(x(0)); s(5) <= x(2) and not(x(1)) and x(0); s(6) <= x(2) and x(1) and not(x(0)); s(7) <= x(2) and x(1) and x(0); end arch_dec3x8; b) Com base na descrição acima, projete e elabore a descrição VHDL de um decodificador 4x16. DICA: chame a entidade dec4x16 e salve a descrição no arquivo dec4x16.vhd. c) Execute a simulação da descrição acima no MAX+PLUS II e verifique o seu comportamento de acordo com os procedimentos descritos no Apêndice B. Obtenha uma forma de onda semelhante ao da figura 2.2. Figura 2.2 Carta de tempo obtida pela simulação do decodificador 3x8. d) Faça a programação do decodificador 4x16 no dispositivo programável do painel de montagens experimentais. Use a apostila Projeto de circuitos com MAX+PLUS II para auxilia-los neste item. e) Teste o funcionamento do circuito usando as chaves C0 a C3 como entradas e os leds L0 a L15 como saídas. f) Comente eventuais acontecimentos no uso do MAX+PLUS II e na montagem experimental Perguntas a) ual é o papel da simulação no processo de desenvolvimento de circuitos digitais? Escreva com suas próprias palavras. b) uais as vantagens do uso de ferramentas de simulação no processo de desenvolvimento de circuitos digitais? c) Exemplifique outros usos possíveis da simulação. d) Como o uso de dispositivos lógicos programáveis facilita o projeto de circuitos digitais? e) Compare o uso de linguagens de descrição de hardware, como o VHDL, no projeto de circuitos digitais. Simulação de Circuitos e Dispositivos Programáveis (2007) 6

7 4. BIBLIOGRAFIA DUECK, R. K. Digital Design with CPLD Applications and VHDL. Delmar, FREGNI, E. & SARAIVA, A. M. Engenharia do Projeto Lógico Digital: conceitos e prática. Edgard Blücher, KIME, C. R.; MANO, M. M. Logic and computer design fundamentals. 3 rd ed., New Jersey: Prentice Hall, MIDORIKAWA, E. T., et al. Projeto de circuitos com MAX+PLUS II. Apostila de Laboratório Digital. Escola Politécnica da USP, ORDONEZ, E.D.M.; PEREIRA, F.D.; PENTEADO, G.; PERICINI, R.A. Projeto, Desempenho e Aplicações de Sistemas Digitais em Circuitos Programáveis (FPGAs). Bless Gráfica e Editora Ltda., RANZINI, E.; HORTA, E. L. Lógica programável. Apostila de Laboratório Digital. Escola Politécnica da USP, Manuais da Altera. TOCCI, R. J.; WIDMER, N. S.; MOSS, G. L. Digital Systems: principles and applications. 9 th ed., Prentice-Hall, WAKERLY, J. F. Digital design: principles and practice. 4 th ed., New Jersey: Prentice- Hall, RECURSOS NECESSÁRIOS 1 painel de montagens experimentais. 1 fonte de alimentação fixa, 5V ± 5%, 4A. 1 placa MAX7-PCS, com cabo para interface paralela. 1 computador tipo IBM-PC com software MAX+PLUS II. 1 impressora. Simulação de Circuitos e Dispositivos Programáveis (2007) 7

8 APÊNDICE A CONFIGURAÇÃO DO MAX+PLUS II PARA COMPILAR ARUIVOS VHDL Antes de iniciar a compilação de um projeto com VHDL, devemos fazer o seguinte ajuste do Leitor de Netlist: para usarmos o padrão VHDL 93, é necessário primeiro mudar o leitor de netlist (netlist reader) para que ele entenda o arquivo VHDL. Infelizmente, isto pode ser feito a cada arquivo novo a ser criado. O procedimento para ajustar o leitor de netlist é um pouco confuso. Para chegarmos à sua caixa de diálogo devemos primeiro abrir a janela do compilador. O modo mais fácil é ir ao menu MAX+plus II Compiler. Depois disto, o menu do leitor de netlist do VHDL está dentro do menu Interfaces VHDL Netlist Reader Settings. Clique em VHDL 1993 para habilitar o uso do padrão VHDL 93. Simulação de Circuitos e Dispositivos Programáveis (2007) 8

9 APÊNDICE B EDIÇÃO, COMPILAÇÃO, SIMULAÇÃO E PROGRAMAÇÃO DA DESCRIÇÃO VHDL Descrevemos aqui como uma descrição VHDL pode ser editada, compilada e depois simulada. 1. EDIÇÃO DA DESCRIÇÃO VHDL Uma descrição VHDL deve ser introduzida no MAX-PLUS II na forma de um arquivo de texto com extensão.vhd. Desta forma, iniciamos com a criação de um novo arquivo de texto com o acionamento do menu File New. Antes de começar a editar a descrição VHDL, devemos salvar o arquivo com a extensão correta (.vhd). Não é preciso modificar o campo Automatic Extension da janela. Simulação de Circuitos e Dispositivos Programáveis (2007) 9

10 Este salvamento faz com que o editor passe a reconhecer a linguagem VHDL de forma a introduzir automaticamente cores nos textos, diferenciando comentários, palavras reservadas, etc, conforme mostrado na tabela abaixo. cor verde azul preto vermelho significado comentários palavras reservadas outros caracteres válidos caracteres inválidos 2. CRIAÇÃO DE UM NOVO PROJETO Crie um novo projeto com a ativação do menu File Project Set Project to Current File. 3. COMPILAÇÃO DO PROJETO Compile a descrição VHDL com o menu File Project Save and Compile. Se houver um erro de compilação referente à versão de VHDL suportada pelo MAX-PLUS II siga o procedimento descrito no Apêndice A. Se o erro persistir, consulte o menu Help VHDL. 4. SIMULAÇÃO DO PROJETO A simulação do circuito descrito em VHDL pode ser executada com a criação das formas de onda dos sinais de entrada. O procedimento é o mesmo apresentado na apostila "Projeto de Circuitos com o MAX+PLUS II". Simule o circuito com vários valores de entrada, validando o seu funcionamento. Simulação de Circuitos e Dispositivos Programáveis (2007) 10

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

LÓGICA PROGRAMÁVEL II

LÓGICA PROGRAMÁVEL II LÓGICA PROGRAMÁVEL II Edson T. Midorikawa (2001) E.T.M./2002 (revisão) E.T.M./2004 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando HDLs

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL LÓGICA PROGRAMÁVEL Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM/2002 (revisão da parte experimental) ETM/2004 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais 2 Sistemas Digitais Aula 2 Introdução à Sistemas Embarcados Prof. Abel Guilhermino Centro de Informática Universidade Federal de Pernambuco Circuitos Digitais Representação Numérica Analógica As entradas

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando VHDL Professor Dr. Michael Klug 1 Comparativo Linguagens Linguagem de Programação x HDL Supondo que cada instrução leve 20ns entre 40 e 60ns para conclusão

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Projeto de Circuitos Aritméticos

Projeto de Circuitos Aritméticos Projeto de Circuitos Aritméticos E.T.M./2011 (adaptação) E.T.M./2012 (revisão) RESUMO Nesta experiência será desenvolvido um circuito aritmético usando a metodologia estruturada apresentada nas experiências

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptação) E.T.M. e M.D.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores VHDL - VHSIC Hardware Description Language Arquitetura de Computadores Leonardo Augusto Casillo Referências bibliográficas PELLERIN, David. TAYLOR, Douglas. VHDL Made Easy. Prentice-Hall PTR. 1997. SKANHILL,

Leia mais

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Prof. Alan Petrônio Pinheiro - 2011 Introdução VHDL é uma linguagem de descrição de hardware Hardware Description

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008. Turma Projeto Lógico L Digital Edson T. Midorikawa 25/02/2008 Professor Edson Midorikawa edson.midorikawa@poli.usp.br Horário de atendimento: 6ª feira das 10h00 às 11h00 na sala C2-20 Turma 4 automação

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

PCS3515 Sistemas Digitais. Blocos Básicos

PCS3515 Sistemas Digitais. Blocos Básicos PCS355 Sistemas Digitais Blocos Básicos - Tri-State e Multiplexadores - Seções 6.6 e 6.7 livro texto Com apoio do material dos demais professores 208/ Tri State Compartilhamento de uma via Timing para

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS E.T.M./2006 E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2007 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

Circuito Hierárquico

Circuito Hierárquico Circuito Hierárquico Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital de forma hierárquico. Uma hierarquia de módulos compõe o projeto, onde cada módulo

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2014 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Ao final da experiência, os alunos terão conhecimento sobre os procedimentos

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais