ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

Tamanho: px
Começar a partir da página:

Download "ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa"

Transcrição

1 ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa

2 Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis (PLA e PAL) - Arranjos de Portas Programáveis (CPLD e FPGA) - Linguagem de Descrição de Hardware

3 Introdução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 Surgem os microprocessadores Surgem (ROM e Logic Arrays - PLA e PAL) << 600 portas ASIC s Applications Specific Integrated Circuits Década de 1980 >> 600 portas Complex PLD CPLD FPGA Field Programmable Gate Array

4 Introdução Basicamente, o projeto de um sistema digital consiste em determinar a função lógica que traduz o funcionamento do mesmo de acordo com estímulos recebidos. A partir disso podemos implementar um circuito lógico complexo que execute essa função a partir de circuitos lógicos simples.

5 Introdução Projetista tem o desafio de encontrar o balanço entre velocidade e generalidade do hardware Chip genérico Microcontroladores Muitas funções Sacrifício de desempenho Chip dedicado ASICS Aplicação específica alta velocidade baixo consumo só se justifica em grande quantidade, pois alterações do projeto não são possíveis

6 Lógica Programável Os componentes da lógica programável são dispositivos que possuem em sua lógica interna centenas (ou milhares) de portas lógicas, flipflops, registradores e outros; que são interligados internamente. Essas interconexões são os pontos programáveis da lógica. Podemos então programar essas conexões para permanecerem fechadas ou abertas, de acordo com a necessidade do projeto. Essas interconexões podem ser entendidas como fusíveis, que de acordo com a necessidade do projeto podem ou não ser queimados (desfazendo ou não a conexão entre portas lógicas). Essa queima é realizada pelo projetista, utilizando um software de programação do dispositivo.

7 Dispositivos de Lógica Programável

8 Arranjos Lógicos Programáveis Circuito que possui uma estrutura interna baseada em um conjunto de portas AND-OR (arranjos). As entradas desse circuito são ligadas às entradas das portas AND e as saídas das portas AND são ligadas às entradas das portas OR e suas saídas representam as saídas do circuito.

9 PAL Soma de Produtos 3 Entradas X1, X2, X3 2 Saídas Z1, Z2 Apenas bloco AND configurável com 6 entradas Bloco OR fixo

10 PLA Soma de Produtos 3 Entradas X1, X2, X3 2 Saídas Z1, Z2 Um bloco AND configurável com 6 entradas Um Bloco OR Configurável com 6 entradas

11 Arranjos de Portas Programáveis Os arranjos de portas programáveis são estruturas mais genéricas e versáteis que as baseadas na estrutura tradicional AND-OR dos arranjos lógicos programáveis. A principal vantagem deste tipo de circuito é a possibilidade de reprogramação do comportamento de um circuito quantas vezes for necessária, ao contrário dos arranjos lógicos programáveis que só podem ser programados uma vez, ou seja, definida sua função lógica ela não poderá ser mudada.

12 CPLD - Complex PLD Os CPLDs podem ser vistos como dispositivos que utilizam em sua estrutura vários PLD s (PLA ou PAL). Cada PLA ou PAL formam células que são interligadas através de conexões programáveis.

13 FPGA - Field Programmable Gate Array Blocos Lógicos Configuráveis Linhas de Roteamento Blocos de Entrada e Saída Matriz de Roteamento

14 FPGA Elementos Básicos Blocos de entrada/saída configuráveis: são componentes de entrada/saída formados por estruturas bidirecionais que incluem buffer, flip-flop de entrada, buffer tri-state e flip-flop de saída. Interconexões Programáveis (Matriz de Roteamento): pode ser do tipo SRAM, Antifusível ou EPROM. São como interruptores programáveis, geram as pistas de ligação entre os blocos lógicos e blocos de entrada e saída. Blocos Lógicos Configuráveis: pode ser tão simples como um transistor ou tão complexo quanto um microprossessador. Este bloco é capaz de implementar várias funções combinacionais (através de look-up-table) e sequenciais (através de flip-flops).

15 Linguagem de Descrição de Hardware VHDL (Very High Speed Integrated Circuit Hardware Description Language) é uma linguagem padronizada de descrição de hardware ratificada em 1987 pelo IEEE. Serve para modelar a estrutura e o comportamento do Hardware: Estrutural: Descreve-se o circuito pensando na arquitetura. Comportamento: Descreve-se o circuito pensando no seu funcionamento.

16 VHDL Linguagem de Descrição de Hardware Ex.: Flip-Flop D

17 Exercício Elabore um circuito para alocar memórias ROMs de 64 bytes (A e B) nos intervalos mostrados a seguir (complete a tabela), utilizando chips de 64 células de 4 bits e considerando um espaço total de endereçamento de 256 bytes. Observação: o dispositivo que vai utilizar esse banco de memória possui 8 linhas no barramento de endereços e oito linhas no barramento de dados, além de um sinal de leitura de memória ROM, RDROM, ativo em zero. Endereço inicial Endereço final Memória A Vazio B

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 26/08/2015 Prof. Alexandre - ELP1DLP1 1 26/08/2015 Prof. Alexandre - ELP1DLP1 2 INTRODUÇÃO A LÓGICA DIGITAL Circuitos Integrados (CI): Fonte: Brown, S., Vranesic,

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

Standards para Memórias

Standards para Memórias Standards para Memórias Os fabricantes de memórias utilizam várias notações para a descrição dos sinais de temporização das memórias. Na tentativa de uniformizar essas notações foi proposto um standard

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti Dispositivos Lógicos Programáveis Prof. Luiz Fernando Copetti copetti@utfpr.edu.br luizcopetti@gmx.de Luiz Fernando Copetti Mestre em Ciências UTFPR 2008 Engenheiro Eletrônico UTFPR - 1991 Engenheiro de

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

PUC-Rio. Dispositivos Lógicos Programáveis

PUC-Rio. Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis 1 Dispositivos Lógicos Programáveis PLDs (Programmable Logic Devices) são circuitos integrados cujas conexões internas podem ser programadas pelo usuário de modo a realizar

Leia mais

Sempre que ocorre uma grande

Sempre que ocorre uma grande Nova abordagem para o ensino de Eletrônica Digital A tecnologia digital cresce de forma exponencial, novos equipamentos e sistemas são especialmente projetados para trabalhar em Automação. O projeto e

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário UTP128-84 Placa de Desenvolvimento de Sistemas Digitais T&S Equipamentos Eletrônicos R. Raul La Sierra Pereira, 140 Jd. Bandeirante CEP: 13562-170 São Carlos

Leia mais

SEL-0415 Introdução à Organização de Computadores Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira

SEL-0415 Introdução à Organização de Computadores Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira SEL-0415 Introdução à Organização de Computadores Conceitos Básicos Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira INTRODUÇÃO n Organização Æ implementação do hardware, componentes, construção dos dispositivos

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

Tecnologias Digitais

Tecnologias Digitais Tecnologias Digitais MicroControlador Portas Lógicas PAL Processado r ARM CPLD FPGA Sinais analógicos e Digitais Sinais analógicos e Digitais Os sinais são analógicos quando as tensões medidas ao longo

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Sistemas Digitais MEMÓRIAS -! SUMÁRIO:! MEMÓRIAS INTEGRADAS! RAMs! ROMs! LÓGICA PROGRAMÁVEL! PROMs! PLAs! PALs! FPGAs! IMPLEMENTAÇÃO DE MÁQUINAS DE ESTADO UTILIZANDO

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO)

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO) LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE 2005- COMPUTAÇÃO) 1) Apesar de todo o desenvolvimento, a construção de computadores e processadores continua, basicamente, seguindo a arquitetura clássica de von

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Setembro de 4 MEMÓRIAS - SUMÁRIO: MEMÓRIAS INTEGRADAS RAM ROM PROM EPROM LÓGICA PROGRAMÁVEL PLAs PALs FPGAs Setembro de 4 MEMÓRIAS - MEMÓRIAS Na sequência do

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Memórias. Memórias: Utilização:

Memórias. Memórias: Utilização: 1 : São dispositivos que armazenam informações codificadas digitalmente que podem representar números, letras, caracteres quaisquer, comandos de operações, endereços ou ainda qualquer outro tipo de dado.

Leia mais

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Memórias GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr. Danilo

Leia mais

Estrutura Básica de um Computador

Estrutura Básica de um Computador SEL-0415 Introdução à Organização de Computadores Estrutura Básica de um Computador Aula 2 Prof. Dr. Marcelo Andrade da Costa Vieira INTRODUÇÃO n Organização Æ implementação do hardware, componentes, construção

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro Processador A função de um computador é executar tarefas com a finalidade de resolver problemas. Uma tarefa

Leia mais

Tutorial PET-Tele. Circuitos Digitais Configuráveis

Tutorial PET-Tele. Circuitos Digitais Configuráveis Universidade Federal Fluminense UFF Escola de Engenharia TCE Curso de Engenharia de Telecomunicações TGT Programa de Educação Tutorial PET Grupo PET-Tele Tutorial PET-Tele Introdução aos Circuitos Digitais

Leia mais

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados)

Leia mais

PCS 3115 Sistemas Digitais I

PCS 3115 Sistemas Digitais I PCS 35 Sistemas Digitais I Módulo 7 Introdução às Memórias Edison versão:. (maio de 28). Conceituação Dispositivos que armazenam dados em grandes quantidades. Flip-Flop s e registradores também têm esta

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

Circuitos Lógicos. Prof. Odilson Tadeu Valle

Circuitos Lógicos. Prof. Odilson Tadeu Valle Introdução Circuitos Lógicos Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/44 Sumário 1 Introdução 2 Analógico Versus Digital 3 Bits, Bytes e

Leia mais

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias ELE 1078 - Microprocessadores I AULA 12 Arquitetura do Microprocessador 8085 -Interface com as memórias 12.1 - Estrutura das Memórias Memória de Leitura / Escrita (R / W memory). Grupo de registradores;

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

14/3/2016. Prof. Evandro L. L. Rodrigues

14/3/2016. Prof. Evandro L. L. Rodrigues SEL 433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Prof. Evandro L. L. Rodrigues Tópicos do curso Conceitos básicos - Aplicações e utilizações dos microcontroladores

Leia mais

Memórias. SEL-415 Introdução à Organização dos Computadores. Parte 1. Aula 4. Profa. Luiza Maria Romeiro Codá

Memórias. SEL-415 Introdução à Organização dos Computadores. Parte 1. Aula 4. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP SEL-415 Introdução à Organização dos Computadores Aula 4 Memórias Parte 1 Profa. Luiza Maria Romeiro Codá Autores: Prof. Dr. Marcelo Andrade

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Nov/18 1 Definições São blocos que armazenam informações codificadas digitalmente. A localização de uma unidade de dado num arranjo de memória é denominada

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro airton.ribeiros@gmail.com Processador A função de um computador é executar tarefas com a finalidade de

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-3451- Projeto de Circuitos Lógicos Integrados Pequeno Manual da Família Cyclone II (2017) Conteúdo:

Leia mais

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba.

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba. Universidade Federal da Bahia Escola Politécnica Programa de Pós Graduação em Engenharia Elétrica Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável

Leia mais

SEL-433 APLICAÇÕES DE MICROPROCESSADORES I

SEL-433 APLICAÇÕES DE MICROPROCESSADORES I SEL 433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Apresentação do curso Critério de avaliação Média final = 0.8 * MP + 0.2 * ME onde MP = (P1 + P2) / 2 e ME = Notas

Leia mais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais 2 Sistemas Digitais Aula 2 Introdução à Sistemas Embarcados Prof. Abel Guilhermino Centro de Informática Universidade Federal de Pernambuco Circuitos Digitais Representação Numérica Analógica As entradas

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018)

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018) PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (218) Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.12 PCS 234 Sistemas

Leia mais

Capítulo 14. Expandindo as portas de comunicação 8031 com a PPI Interface PPI 8255

Capítulo 14. Expandindo as portas de comunicação 8031 com a PPI Interface PPI 8255 Capítulo 14 Expandindo as portas de comunicação 8031 com a PPI 8255 Como discutido ao longo do livro, o 8031 deixa 8 bits para controle genérico de dispositivos. Em situações nas quais se necessita um

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

USP -ESCOLA POLITÉCNICA PCS - DEPARTAMENTO DE ENGENHARIA DE COMPUTAÇÃO E SISTEMAS DIGITAIS. Exercícios preparatórios Memórias Prof.

USP -ESCOLA POLITÉCNICA PCS - DEPARTAMENTO DE ENGENHARIA DE COMPUTAÇÃO E SISTEMAS DIGITAIS. Exercícios preparatórios Memórias Prof. Exercícios preparatórios Memórias Prof. 1ª Questão (1,0) - Qual a capacidade de cada uma das memórias a seguir (expressas em número de posições x tamanho da palavra)? (usar prefixos K, M, G, T) x x x x

Leia mais

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio ARQUITETURA DE COMPUTADORES Nível da Lógica Digital Prof.: Agostinho S. Riofrio Agenda 1. Portas Lógicas 2. Algebra de Boole 3. Equivalencia de circuitos 4. Circuitos Lógicos Digitais 5. Relógio 6. Memória

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

CONHECIMENTOS ESPECÍFICOS» CONTROLE E PROCESSOS INDUSTRIAIS (PERFIL 6) «

CONHECIMENTOS ESPECÍFICOS» CONTROLE E PROCESSOS INDUSTRIAIS (PERFIL 6) « CONHECIMENTOS ESPECÍFICOS» CONTROLE E PROCESSOS INDUSTRIAIS (PERFIL 6) «21. Dadas as expressões abaixo, assinale a alternativa que NÃO equivale a uma porta OU, com entradas A e B: a) b) c) d) ( ) ( ) e)

Leia mais

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr.

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.2 PCS 234 Sistemas Digitais II Memórias Dispositivos

Leia mais

Projeto de Sistemas Embarcados

Projeto de Sistemas Embarcados Projeto de Sistemas Embarcados Pós-Graduação em Engenharia Elétrica Prof. Dr. Joselito A. Heerdt Joselito.heerdt@.udesc.br PLANEJAMENTO 1. Introdução 2. O projeto de sistemas 3. Projeto de hardware 4.

Leia mais

COMPUTADOR. Adão de Melo Neto

COMPUTADOR. Adão de Melo Neto COMPUTADOR Adão de Melo Neto 1 COMPUTADOR COMPUTADOR Barramento de Endereços: Determina qual a posição de memória que irá ser lida ou escrita (unidirecional). Barramento de Endereços: Transporta o dados

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP Componentes Programáveis pelo Utilizador PLD, CPLD e FPGAs José Miguel Vieira dos Santos jvs@isep.ipp.pt JMVS - SACP 2005 1 PLD- Progammable Logic Devices O termo PLD abrange uma vasta gama de componentes

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

ROM

ROM Capítulo 3 Sumário 3.1 Memórias... 38 3.2 Estrutura geral e organização de uma memória... 38 3.3 Tipos Básicos - ROM (Read Only Memory)... 39 3.3.1 Ampliação da capacidade da ROM... 41 3.3.2 ROMs Programáveis...

Leia mais

Lógica de Seleção e Mapeamento de Memória

Lógica de Seleção e Mapeamento de Memória Departamento de Engenharia Elétrica - EESC-USP SEL-45 Introdução à Organização de Computadores Lógica de Seleção e Mapeamento de Memória Aula 6 Prof. Dr. Marcelo Andrade da Costa Vieira LÓGICA DE SELEÇÃO

Leia mais

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores]

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores] Memórias RAM e ROM [Introdução à Organização de Computadores] Adriano J Holanda 9/5/2017 Memória de acesso aleatório RAM Random Access Memory Armazenamento temporário de programas em execução e dados;

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis Circuitos Lógicos DCC-IM/UFRJ Prof. 2009 1 Família de Sistemas Digitais 2 Comparação: Velocidade e Consumo VLSI Personalizado ASIC Célula-Padrão ASIC Estruturado CPLD

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 13: Dispositivos de Memória TOCCI, Sistemas Digitais, Sec. 12.1 12.9 http://sites.google.com/site/eletdigi/ Dispositivos de Memória Memórias conhecidas

Leia mais

DADOS DO COMPONENTE CURRICULAR

DADOS DO COMPONENTE CURRICULAR PLANO DE ENSINO DADOS DO COMPONENTE CURRICULAR Nome do Componente Curricular: Sistemas Digitais Curso: Técnico Integrado de Nível Médio em Informática Série/Período: 1º ano Carga Horária: 2 a/s - 80 h/a

Leia mais

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira Departamento de Engenharia Elétrica - EESC-USP SEL-0415 Introdução à Organização de Computadores Memórias Parte 1 Aula 4 Prof. Dr. Marcelo Andrade da Costa Vieira Memória Semicondutora Elemento Básico

Leia mais

Arquitetura de Computadores Aula 9 Portas Lógicas

Arquitetura de Computadores Aula 9 Portas Lógicas Arquitetura de Computadores Aula 9 Portas Lógicas Prof. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/18 * Definição * Tipos de portas lógicas (operadores) * Aplicações - porta de transferência

Leia mais

3 Realização e Caracterização do Módulo Transmissor

3 Realização e Caracterização do Módulo Transmissor 38 3 Realização e Caracterização do Módulo Transmissor Este capítulo tem como objetivo estudar e caracterizar o módulo a ser desenvolvido na transmissão através da introdução de uma ferramenta computacional

Leia mais

MEMÓRIAS PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO

MEMÓRIAS PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO MEMÓRIAS MEIOS: PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO TÉCNICAS: IMPRESSÃO CARGAS ELÉTRICAS MODIFICAÇÃO DE ÍNDICES ÓPTICOS DE SUPERFÍCIES

Leia mais

Sistemas Digitais II DISPOSITIVOS DE MEMÓRIA. Prof. Marlon Henrique Teixeira julho/2014

Sistemas Digitais II DISPOSITIVOS DE MEMÓRIA. Prof. Marlon Henrique Teixeira julho/2014 Sistemas Digitais II DISPOSITIVOS DE MEMÓRIA Prof. Marlon Henrique Teixeira julho/2014 Memórias Conteúdo Terminologia de memórias Princípios de operação Conexões CPU-Memória Memórias apenas de leitura

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC0112 Organização de Computadores Digitais I 17ª Aula Hierarquia de memória Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br 1 Memória Memória Todo componente capaz de armazenar bits de informação Características

Leia mais

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H)

REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) REFERENCIAIS DO CURSO CERTIFICADO DE NÍVEL 4 ELECTRÓNICA DIGITAL (75 H) 1 UFCD 6024 Circuitos lógicos Carga horária: 25 horas Caracterizar as diferentes bases de numeração. Representar números nas bases

Leia mais

MEMÓRIAS SEMICONDUTORAS

MEMÓRIAS SEMICONDUTORAS MEMÓRIAS SEMICONDUTORAS Em um sistema computacional subentende-se memória como qualquer dispositivo capaz de armazenar dados, mesmo temporariamente. Atualmente, os métodos de armazenamento de informação

Leia mais

Departamento de Engenharia Elétrica e de Computação. Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Departamento de Engenharia Elétrica e de Computação. Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Departamento de Engenharia Elétrica e de Computação Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1. Introdução: A tecnologia dos circuitos digitais tem se desenvolvido rapidamente

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Computadores dedicados

Computadores dedicados Computadores dedicados CPU processador de usos gerais produção em larga escala, baixo custo ASIP processador para uma área de aplicação desenvolvimento ASIC circuito dedicado para uma aplicação circuito

Leia mais

Organização e Arquitetura de Computadores. A Arquitetura no nível da lógica digital Prof.: Hugo Barros

Organização e Arquitetura de Computadores. A Arquitetura no nível da lógica digital Prof.: Hugo Barros Organização e Arquitetura de Computadores A Arquitetura no nível da lógica digital Prof.: Hugo Barros email@hugobarros.com.br Portas Lógicas e Álgebra de Boole Circuitos digitais o Construídos a partir

Leia mais

Universidade de São Paulo

Universidade de São Paulo Universidade de São Paulo Organização de Computadores Dr. Jorge Luiz e Silva Cap 2 Memória Secundária Memória Principal Memória Secundária - Armazenam informações que precisam ser transferidas para a Memória

Leia mais

CONCURSO PÚBLICO DE PROVAS E TÍTULOS EDITAL ESPECÍFICO 01/ CAMPUS IBIRITÉ. PROVA OBJETIVA - PROFESSOR EBTT ÁREA/DISCIPLINA: Eletrônica

CONCURSO PÚBLICO DE PROVAS E TÍTULOS EDITAL ESPECÍFICO 01/ CAMPUS IBIRITÉ. PROVA OBJETIVA - PROFESSOR EBTT ÁREA/DISCIPLINA: Eletrônica MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE MINAS GERAIS CAMPUS IBIRITÉ Rua Mato Grosso, 02 Bairro Vista Alegre, CEP

Leia mais

Introdução aos circuitos integrados de aplicação específica

Introdução aos circuitos integrados de aplicação específica Introdução aos circuitos integrados de aplicação específica João Canas Ferreira 2007-09-17 Tópicos de Projecto de VLSI digital Assuntos Tópicos 1 2 Circuitos programáveis 3 Fluxo de projecto Contém figuras

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

Uma Arquitetura Reconfigurável para Prototipagem de Sistemas de Hardware e Software

Uma Arquitetura Reconfigurável para Prototipagem de Sistemas de Hardware e Software Uma Arquitetura Reconfigurável para Prototipagem de Sistemas de Hardware e Software Aluno: Rodrigo César de Moraes Tavares 1 Orientador: Claudionor José Nunes Coelho Jr. Co-orientador: Arnaldo de Albuquerque

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação MEMÓRIA SÃO TODOS

Leia mais

Aula 10 Microcontrolador Intel 8051 Parte 1

Aula 10 Microcontrolador Intel 8051 Parte 1 Aula 10 Microcontrolador Intel 8051 Parte 1 SEL 0415 INTROD. À ORGANIZAÇÃO DE COMPUTADORES Prof. Dr. Marcelo A. C. Vieira SEL 0415 Microcontroladores Grupo de Sistemas Digitais n Microcontrolador é o nome

Leia mais