PCS3515 Sistemas Digitais. Blocos Básicos

Tamanho: px
Começar a partir da página:

Download "PCS3515 Sistemas Digitais. Blocos Básicos"

Transcrição

1 PCS355 Sistemas Digitais Blocos Básicos - Tri-State e Multiplexadores - Seções 6.6 e 6.7 livro texto Com apoio do material dos demais professores 208/ Tri State

2 Compartilhamento de uma via Timing para o compartilhamento 2

3 74x54 Usando com o microprocessador 3

4 74x245 Bus bidirecional e transceptor 4

5 Multiplexadores Conceito Multiplexação Conceito Correspondente mecânico de um Multiplexador: Chave seletora 5

6 Multiplexadores Multiplexador ou Multiplexer ou Mux É um Bloco Lógico Funcional (ou Bloco Combinatório Lógico) que possui 2 n entradas de dados e uma saída Só uma das 2 n entradas é conectada à saída N entradas adicionais de seleção indicam qual entrada de dados vai para a saída Multiplexadores Entradas e saídas 2 n entradas de dados I 0 I I 2 n -. Mux 2 n x Z saída de dados S 0.. S n- n entradas de seleção 6

7 2. Multiplexadores Qual entrada de dados vai para a saída? As entradas de seleção formam uma palavra binária de n bits A palavra pode assumir os valores de 0 a 2 n - As 2 n entradas de dados são numeradas de 0 a 2 n - A saída recebe a entrada de dados cujo índice corresponde ao valor da palavra binária das entradas de seleção Exemplo Entradas de seleção = 0 (3 0 ); Z recebe I 3 Multiplexadores Notação n Símbolo funcional (convenção): Entradas de dados à esquerda Saídas à direita Índice menor indica bit menos significativo na palavra binária I 0 n Denominação Mux 8 por Multiplexador 8 x 2 2 = 4 entradas de dados I I 2 I 3 Mux 4 x Z saída de dados S 0 S 2 entradas de seleção 7

8 Equivalente Funcional múltiplo 5 Multiplexadores Tabela da Verdade Ex: Mux 4 por Entradas de Seleção Saída S S 0 Z 0 0 I 0 0 I 0 I 2 S 0 S Mapa 0 0 I 0 I 2 I I 3 I 3 Z = S.S 0.I 0 + S.S 0.I + S.S 0.I 2 + S.S 0.I 3 8

9 Multiplexadores Circuito interno I 0 S S 0 I I 2 Z I 3 Z = S.S 0.I 0 + S.S 0.I + S.S 0.I 2 + S.S 0.I 3 Cada mintermo é multiplicado pela entrada de dados correspondente Multiplexadores Entradas adicionais Enable Permite habilitar/desabilitar o bloco todo SE Enable ativo Funcionamento normal SE Enable inativo Saída inativa independentemente do código nas entradas de seleção e das entradas de dados Atua em todos os produtos (portas E) 9

10 Multiplexadores Tabela da Verdade Ex: Mux 4 por com Enable (EN) Entradas Saída EN S S 0 Z 0 0 I 0 0 I 0 I 2 I 3 0 X X 0 Z = EN.S.S 0.I 0 + EN.S.S 0.I + EN.S.S 0.I 2 + EN.S.S 0.I 3 Multiplexadores Circuito interno com Enable S S 0 I 0 I I 0 I I 2 I 3 EN Mux 4 x Z I 2 Z S 0 S I 3 ENABLE Z = EN.S.S 0.I 0 + EN.S.S 0.I + EN.S.S 0.I 2 + EN.S.S 0.I 3 0

11 Multiplexadores Saídas invertidas Multiplexadores podem ter a saída invertida ou complementada Diz-se saída active-low Ativa em ZERO; Implicações: Na tabela da verdade Inversão na saída (Z = I i ); No circuito Uso de NOR; Nomenclatura Z ou Z Multiplexadores Entradas active low Entrada ENABLE também pode ser active-low SE Enable =0, circuito habilitado SE Enable =, saídas todas desabilitadas Implicações na tabela verdade: inversão na entrada no circuito: uso de inversor Nomenclatura: EN ou EN

12 Mux Entradas e saídas active low I 0 EN S S 0 I 0 I I 2 I 3 Mux 4 x Z I S 0 S I 2 Z Entradas Saída EN S S 0 Z I 0 I I 0 0 I 2 ENABLE 0 I 3 X X 2. Multiplexadores Símbolo alternativo 2

13 Mux 8: (74x5) 25 74x

14 Mux 32: 27 Multiplexadores Uso Síntese de funções Qualquer função de chaveamento de n variáveis pode ser implementada com um único MUX 2 n : Exemplo: Função de 2 variáveis e Mux 4x I 0 I 0 Mux I Z 2 0 I 0 I 2 I 3 4 x I I 3 S 0 S Mapa do Mux 4x S 0 S Z = S.S 0.I 0 + S.S 0.I + S.S 0.I 2 + S.S 0.I 3 4

15 Multiplexadores Síntese de funções S 0 S Mapa do Mux 4x 0 a b Mapa da Função F(b,a) 0 0 I 0 I 2 I I 3 0 Da comparação dos dois mapas obtém-se: I 0 = 0, I =, I 2 =, I 3 = 0 F(b,a) = Σ(,2) Multiplexadores Síntese de funções I 0 I I 2 I 3 Mux 4 x Z F(b,a) = Σ(,2) 0 S 0 S a b 5

16 Multiplexadores Síntese de funções Síntese de funções com Mux de menor ordem Implementar função de chaveamento de n variáveis com um único MUX 2 n- : Exemplo: Função de 4 variáveis Mux 8x (3 variáveis de seleção) Multiplexadores Síntese de funções Solução com Mux de 6x BA BA DC DC I 0 I I 3 I 2 I 4 I 5 I 7 I 6 I2 I3 I5 I 4 I 8 I 9 I I MAPA DE MUX 6: F= Σ(0,,5,6,7,0,) "" "0" ENABLE = "" D C B A MUX 6: E f 6

17 Multiplexadores Síntese de funções Solução com Mux de 8x (solução ) D C B A "" "0" "" "0" "" "0" "0" MUX 8: f BA 00 0 DC pois f = D C B' + D CB A + D CB + DC B Multiplexadores Síntese de funções Solução com Mux de 8x (solução 2) B' B "" "0" D C + - MUX 8: A f BA 00 0 DC pois f = D C B A' + D C B A + D CBA' + D CA + DC BA' + DC BA 7

18 Multiplexadores Síntese de funções Solução com Mux de 4x D B BA DC C' A C "0" C' MUX 4: f 0 0 Normalmente não recomendado! Exercícios. Sintetize a função de chaveamento abaixo utilizando um decodificador 4x6. F(d,c,b,a) = Σ(0,,3,8,0) 2. Sintetize a função de chaveamento dada pela tabela verdade ao lado utilizando um decodificador 3x8. c b a F

19 Exercícios 3. Sintetize a função de chaveamento do exercício 4.. utilizando um decodificador 4x6 com saídas active low. 4. Sintetize a função de chaveamento do exercício 4.2. utilizando um decodificador 3x8 com saídas active low. Exercícios 7. Sintetize a função F(d,c,b,a) abaixo utilizando: a. Um Mux de 6x b. Um Mux de 8x c. Um Mux de 4x F(d,c,b,a) = Σ(0,,3,8,0) 9

20 Multiplexação do canal 2/6 39 Dec como demultiplexer 20

21 Mux 4 x [/3] library IEEE; use IEEE.std_logic_64.all; entity mux4xen is port(i0, I, I2, I3: in std_logic; S0, S: in std_logic; enable: in std_logic; Z: out std_logic); end mux4xen; Mux 4 x [2/3] architecture simplemux of mux4xen is signal inter0, inter, inter2, inter3 : std_logic; begin inter0 <= I0 and not S0 and not S and enable; inter <= I and S0 and not S and enable; inter2 <= I2 and not S0 and S and enable; inter3 <= I3 and S0 and S and enable; Z <= inter0 or inter or inter2 or inter3; end simplemux; 2

22 Mux 4 x [3a/3] architecture componentmux of mux4xen is signal inter0, inter, inter2, inter3: std_logic; signal NOTS0, NOTS: std_logic; component \NOT\ port(\in\: in std_logic; \OUT\: out std_logic); end component; component and4 port(in, IN2, IN3, IN4: in std_logic; \OUT\: out std_logic); end component; component or4 port(in, IN2, IN3, IN4: in std_logic; \OUT\: out std_logic); end component; Mux 4 x [3b/3] begin inv_0: \NOT\ port map(s0, NOTS0); inv_: \NOT\ port map(s, NOTS); and_0: and4 port map(i0, NOTS0, NOTS, enable, inter0); and_: and4 port map(i, S0, NOTS, enable, inter); and_2: and4 port map(i2, NOTS0, S, enable, inter2); and_3: and4 port map(i3, S0, S, enable, inter3); orfinal: or4 port map(inter0, inter, inter2, inter3, Z); end componentmux; 22

23 Mux com 4 entradas de 8 bits cada para uma saída de 8 bits! 23

24 Só usa 4 das possíveis 8 entradas Lição de Casa Leitura Obrigatória: Capítulo 6 do Livro Texto, ênfase em 6.4, 6.5, 6.7, 6.8. Exercícios obrigatórios: Capítulo 6 do Livro Texto. 24

25 Livro Texto Wakerly, J.F.; Digital Design Principles & Practices; Fourth Edition, ISBN: , Pearson & Prentice-Hall, Upper Saddle, River, New Jersey, 07458, Bibliografia Adicional Fregni, Edson; Saraiva, Antônio. Engenharia do Projeto Lógico Digital. Editora Edgard Blücher Ltda. São Paulo, SP, Brasil,.995; Ranzini, Edith; Fregni, Edson. Teoria da Comutação: Introdução aos Circuitos Digitais (Partes e 2). Notas de Aula de PCS24, PCS/EPUSP, Agosto de

26 Bibliografia Adicional Tocci, Ronald; Widmer, Neal S. Sistemas Digitais Princípios e Aplicações. 8 a Edição, Pearson/Prentice-Hall, São Paulo,

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos PCS 3115 (PCS2215) Sistemas Digitais I Circuitos Combinatórios Blocos Básicos Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Blocos básicos Codificadores e Decodificadores Drivers de Display

Leia mais

Parte # 1 - Circuitos Combinatórios

Parte # 1 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 1 - Circuitos Combinatórios Prof. Alessandro Jacoud Peixoto 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de

Leia mais

Parte # 5 - Circuitos Combinacionais

Parte # 5 - Circuitos Combinacionais CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 5 - Circuitos Combinacionais 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

PCS 3115 (PCS2215) Sistemas Digitais I. Módulo 02 Sistemas de Numeração. Prof. Edison Spina. versão: 3.0 (fevereiro de 2.017)

PCS 3115 (PCS2215) Sistemas Digitais I. Módulo 02 Sistemas de Numeração. Prof. Edison Spina. versão: 3.0 (fevereiro de 2.017) PCS 3115 (PCS2215) Sistemas Digitais I Módulo 02 Sistemas de Numeração Prof. Edison versão: 3.0 (fevereiro de 2.017) Baseado em material do prof. Marco Tulio Carvalho de Andrade Conteúdo Sistemas de numeração

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos 3-May-8 PC 35 (PC5) istemas Digitais I Módulo Circuitos Combinatórios locos ásicos Prof. Dr. Marcos. implicio Jr. versão: 3. (Jan/6) locos básicos Multiplicadores UL Gerador/Detector de Paridade Exercícios

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008. Turma Projeto Lógico L Digital Edson T. Midorikawa 25/02/2008 Professor Edson Midorikawa edson.midorikawa@poli.usp.br Horário de atendimento: 6ª feira das 10h00 às 11h00 na sala C2-20 Turma 4 automação

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite, perante uma combinação

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite,

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Apresentação da disciplina

Apresentação da disciplina PCS 3115 Sistemas Digitais I Apresentação da disciplina 1 Horários Aula - Turma 02: 2a. feira, 15:00 às 16:40 4a. feira, 13:10 às 14:50 Sala D1-02 Contato: Prof. Dr. Marcos A. Simplicio Jr. msimplicio@larc.usp.br

Leia mais

PCS 3115 Sistemas Digitais I

PCS 3115 Sistemas Digitais I PCS 3115 Sistemas Digitais I Módulo 03 Aritmética Binária Prof. Dr. Marcos A. Simplício Jr. Prof. Dr. Edison versão: 5 (Fev/2018) Conteúdo Aritmética Binária Soma e Subtração com Números Decimais e Binários

Leia mais

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS PROGRAMA Disciplina: ELETRÔNICA DIGITAL Código: ELET0037 Carga Horária Semestral: 60 HORAS Obrigatória: sim Eletiva: Número de Créditos: TEÓRICOS: 04; PRÁTICOS: 00; TOTAL: 04 Pré-Requisito: ELET0033 ELETRONICA

Leia mais

PCS 3115 (PCS2215) Sistemas Digitais I. Módulo - Códigos. Prof. Dr. Edison Spina. sobre o material do Prof. Dr. Marcos A. Simplicio Jr.

PCS 3115 (PCS2215) Sistemas Digitais I. Módulo - Códigos. Prof. Dr. Edison Spina. sobre o material do Prof. Dr. Marcos A. Simplicio Jr. PCS 3115 (PCS2215) Sistemas Digitais I Módulo - Códigos Prof. Dr. Edison sobre o material do Prof. Dr. Marcos A. Simplicio Jr. versão: 4.1 (Mai/2018) Conteúdo Códigos Binários para Decimais BCD ou 8421

Leia mais

Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores

Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Eletrônica e Circuitos Digitais Aula 16 Circuitos Lógicos MSI. Daniel S Batista

Eletrônica e Circuitos Digitais Aula 16 Circuitos Lógicos MSI. Daniel S Batista Eletrônica e Circuitos Digitais Aula 16 Circuitos Lógicos MSI Daniel S Batista Daniel.Strufaldi@gmail.com Organização Decodificadores Decodificadores/Drivers BCD para 7-seg Displays de Cristal Líquido

Leia mais

PCS 3115 (PCS2215) Sistemas Digitais I. Módulo 03a Aritmética Binária. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Conteúdo

PCS 3115 (PCS2215) Sistemas Digitais I. Módulo 03a Aritmética Binária. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Conteúdo PCS 3115 (PCS2215) Sistemas Digitais I Módulo 03a Aritmética Binária Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Conteúdo Aritmética Binária Soma e Subtração com Números Decimais e Binários

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptação) E.T.M. e M.D.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2015 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Aula Ementa Conteúdo Data

Aula Ementa Conteúdo Data UFABC - Universidade Federal do ABC CMCC - Centro de Matemática Computação e Cognição Campus Santo André - Avenida dos Estados, 5001. Bairro Santa Terezinha. Santo André - SP - Brasil. CEP 09210-580. Tel:

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Circuitos Lógicos Combinacionais Aula Prática

Circuitos Lógicos Combinacionais Aula Prática Circuitos Lógicos Combinacionais Aula Prática Objetivos 1: Aprendizado da Ferramenta TKgate 2: Construção de circuitos combinacionais complexos 3: Construção de uma ALU básica 2 bits. AND, OR CMP SOMADOR

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Codificadores/Decodificadores Multiplexadores/Demultiplexadores

Codificadores/Decodificadores Multiplexadores/Demultiplexadores Codificadores/Decodificadores Multiplexadores/Demultiplexadores Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 30 de abril de 2015 1 / 14 Codificadores/Decodificadores

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

Descrevendo Circuitos Lógicos Capítulo 3 Parte II

Descrevendo Circuitos Lógicos Capítulo 3 Parte II Descrevendo Circuitos Lógicos Capítulo 3 Parte II Slides do Prof. Gustavo Fernandes de Lima slide 1 Os temas abordados nesse capítulo são: Usar a álgebra booleana para simplificar

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Circuitos MSI e LSI e suas aplicações

Circuitos MSI e LSI e suas aplicações Circuitos MSI e LSI e suas aplicações ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI 1/14 De acordo com a classificação dos CI s quanto ao nível de integração, directamente relacionado com o número de

Leia mais

PCS 3115 Sistemas Digitais I Análise e Síntese de Circuitos Combinatórios Prof. Dr. Marcos A. Simplicio Jr.

PCS 3115 Sistemas Digitais I Análise e Síntese de Circuitos Combinatórios Prof. Dr. Marcos A. Simplicio Jr. PCS 3115 Sistemas Digitais I Análise e Síntese de Circuitos Combinatórios Prof. Dr. Marcos A. Simplicio Jr. Adapatdo por Glauber De Bona (2018) O que vimos até agora Sistemas de numeração posicionais,

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO EEL 5310 SISTEMAS DIGITAIS Créditos: 5, sendo 3 créditos de teoria e 2 créditos laboratório Número de aulas 90

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

Nível da Lógica Digital (Aula 6) Portas Lógicas e Lógica Digital Nível da Lógica Digital Estudar vários aspectos da lógica digital Base de estudo para os níveis mais elevados da hierarquia das máquinas

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

Universidade Federal de Uberlândia Faculdade de Computação

Universidade Federal de Uberlândia Faculdade de Computação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 7 Introdução aos Circuitos Codificadores e Decodificadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2007 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital. Além de apresentar algumas informações gerais sobre a disciplina, são

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Transistor. Portas Lógicas (2) Base; Coletor; Emissor.

Transistor. Portas Lógicas (2) Base; Coletor; Emissor. Nível da Lógica Digital Nível da Lógica Digital (Aula 6) Portas Lógicas e Lógica Digital Estudar vários aspectos da lógica digital Base de estudo para os níveis mais elevados da hierarquia das máquinas

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Multiplexadores e Demultiplexadores

Multiplexadores e Demultiplexadores Eletrônica Digital II Multiplexadores e Demultiplexadores Prof. Msc. Getúlio Teruo Tateoki 1 -Um multiplex digital ou seletor de dados é um circuito lógico que aceita várias entradas de dados digitais

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

Sistemas Digitais Módulo 4 Álgebra Booleana e Circuitos Lógicos

Sistemas Digitais Módulo 4 Álgebra Booleana e Circuitos Lógicos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 4 Álgebra Booleana e Circuitos Lógicos Graduação em Sistemas de Informação Prof. Dr. Daniel A. Furtado Conteúdo Introdução

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática. Eletrônica Digital

Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática. Eletrônica Digital Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática Eletrônica Digital Disciplina Professor: Flávio Rogério Uber E-mail: flavio.uber@gmail.com Bloco C56 sala 24 Programa )

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

UNIVERSIDADE PRESBITERIANA MACKENZIE Decanato Acadêmico

UNIVERSIDADE PRESBITERIANA MACKENZIE Decanato Acadêmico Unidade Universitária: Escola de Engenharia Curso: Núcleo Temático: Sistemas Digitais Engenharia Elétrica e Eletrônica Disciplina: Circuitos Digitais I Professor: Edson Lemos Horta DRT: 1128965 Código

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR

Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Aula 7: Portas Lógicas: AND, OR, NOT, XOR, NAND e NOR Conforme discutido na última aula, cada operação lógica possui sua própria tabela verdade. A seguir será apresentado o conjunto básico de portas lógicas

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES

CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES Roteiro Laboratorial Nº 4 CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES BARROS, E. C. 1, NASCIMENTO, L. A. F. 1, MOURA, A. F. L. 1, EGOAVIL, C. J. 2 1 Monitor (a) da disciplina

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais