Eletrônica e Circuitos Digitais Aula 16 Circuitos Lógicos MSI. Daniel S Batista

Tamanho: px
Começar a partir da página:

Download "Eletrônica e Circuitos Digitais Aula 16 Circuitos Lógicos MSI. Daniel S Batista"

Transcrição

1 Eletrônica e Circuitos Digitais Aula 16 Circuitos Lógicos MSI Daniel S Batista Daniel.Strufaldi@gmail.com

2 Organização Decodificadores Decodificadores/Drivers BCD para 7-seg Displays de Cristal Líquido Codificadores Multiplexadores (seletores de dados) Aplicações demultiplexadores Demultiplexadores (distribuidores de dados)

3 Organização Comparador de Magnitude Conversores de Códigos Barramento de Dados Registradores 373, 374 Operação do Barramento de Dados (bus)

4 Multiplexadores (Seletores) Mux é um basicamente um seletor de dados. Existem entradas e saídas e um entrada de configuração (seleção) para determinar qual (is) saída (s) que receberá (ão) a (s) entrada (s). Sistemas Digitais: Princípios e Aplicações

5 Multiplexador de 2 entradas 1 saída

6 Multiplexador de 4 entradas 1 saída

7 Multiplexador 8 1 Sistemas Digitais: Princípios e Aplicações

8 Multiplexador 8 1, 151

9 Exemplo 5 Note que a entrada Enable (E) é utilizada como um quarto endereço (MSA). As duas saídas são colocadas em uma OR, pois quando o /E = 1 Z = 0. Logo a somente a saída de do Mux habilitado passará transparente pela OR.

10 Mux quádruplo de duas entradas Sistemas Digitais: Princípios e Aplicações

11 Aplicações de Mux: Roteamento de dados Sistemas Digitais: Princípios e Aplicações

12 Aplicações de Mux: Conversão paralelo-série Explique como funciona o circuito ao lado. Sistemas Digitais: Princípios e Aplicações

13 Aplicações de Mux: Sequenciamento de operações Explique como funciona o circuito ao lado. Sistemas Digitais: Princípios e Aplicações

14 Aplicações de Mux: Geração de função lógica É possível utilizar o 151 para implementar diretamente um função lógica de até três variáveis: Sistemas Digitais: Princípios e Aplicações

15 Demultiplexadores (distribuidores) Um mux recebe várias entradas e só transmite uma. Um demux realiza a operação inversa: ele recebe um única entrada e a distribui para várias saídas. Sistemas Digitais: Princípios e Aplicações

16 Demultiplexador 1 para 8 Sistemas Digitais: Princípios e Aplicações

17 Demux com 138 (a) O decodificador 74ALS138 pode funcionar como um demultiplexador com E 1 usada como entrada de dado. (b) (b) Formas de ondas típicas para o código de seleção A 2 A 1 A 0 = 000 mostram que O 0 é idêntica a entrada de dados I eme 1.

18 Demultiplexador de clock

19 Monitoramento de 2 N sinais utilizando Mux e Demux Explique como funciona o circuito ao lado. Sistemas Digitais: Princípios e Aplicações

20 Sistema Síncrono para Transmissão de dados

21 Sistema Síncrono para Transmissão de dados

22 Comparador de Magnitude Sistemas Digitais: Princípios e Aplicações

23 Comparador de Magnitude

24 Conversores de Códigos Como já vimos anteriormente, a notação binária é ruim para visualização de dados. Em geral, convertemos os números binários puros para BCD, 7-Seg, ASCII, etc... Antes da popularização de microcontroladores, e estas conversões serem feitas via software, era comum que fossem utilzados CIs conversores, tais como o 7447/48, 4511 (BCD para 7-Seg) ou o 7483 (BCD para binário).

25 Conversores de Códigos: BCD para Binário

26 Equivalentes Binários dos Pesos Decimais de cada Bit BCD A 0 = 1 = B 0 = 2 = C 0 = 4 = D 0 = 8 = A 1 =10 = B 1 =20 = C 1 =40 = D 1 =80 = Exemplo: = BCD 52 = = = Prof. F Granziera Jr

27 Conversores de Códigos: BCD para Binário A 0 = 1 = B 0 = 2 = C 0 = 4 = D 0 = 8 = A 1 =10 = B 1 =20 = C 1 =40 = D 1 =80 = Sistemas Digitais: Princípios e Aplicações

28 Barramento de Dados Três dispositivos diferentes podem transmitir oito bits de dados por meio de um barramento de dados de oito linhas, para um microprocessador; apenas um dispositivo de cada vez é habilitado para que a contenção de barramento seja evitada.

29 Registrador Tri-state 173 Sistemas Digitais: Princípios e Aplicações

30 Operação de um barramento de dados Qual o procedimento para efetuar [A] => [C]? Ronald J. Tocci e Neal S. Widmer

31 Solução

32 Solução: Forma Simplificada do Barramento de Dados

33 Expansão de Barramento Normalmente os barramentos de dados são mais largos que apenas 4 bits. São comuns barramentos de 8, 16, 32 ou 64 bits. Nestes barramentos conectam-se diversos dispositivos, mas a lógica é sempre a mesma: instataneamente somente um dispositivo coloca dados no barramento e um ou mais dispositivos acessam estes dados.

34 Expansão de Barramento Em geral, se a palavra de dados é 8 bits, então o barramento será também de 8 bits, e assim por diante para 16, 32, Dipositivos que acessam barramentos devem possuir saídas tri-state, geralmente bufferizadas. CIs buffers de barramento são especiais por serem capazes de carrregar e descarregar as capacitâncias de barramento rapidamente.

35 Exemplo: conexão de um ADC em um barramento de dados Sistemas Digitais: Princípios e Aplicações Um driver de barramento octal 74HC541 conecta as saídas de um conversor analógicodigital (ADC) em um barramento digital de oito linhas. A saída D 0 está conectada diretamenteno barramento mostrando os efeitos da capacitância.

36 Representação Simplificada de um Barramento Para facilitar o desenho do barramento com muitas linhas de dados, utiliza-se uma notação mais simples com caminhos e setas com a especificação de quantas linhas interfaceiam com o dispositivo. Os sinais de controle são mantidos descritos com detalhes. Sistemas Digitais: Princípios e Aplicações

37 Barramento simplificado Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer

38 Barramento simplificado

39 Barramento simplificado

40 Barramento simplificado

41 Registrador 373

42 Ex.: 9-63 (a)qual a faixa de endereços na qual o MPU acessa o módulo de memória? (b)caso um módulo idêntico seja colocado em O4, qual será faixa de endereços de acesso a este módulo? (c)será possível acessar O2 e O4 simultaneamente? Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer

43 Ex.: 9.45 (a) Como será forma de onda de Dados, para que acenda os pixels marcados em vermelho? Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer =HQc9TlGyYkM Capítulo 9

44 Referências [1] TOCCI, R. J.; Widmer, N. S.; Sistemas Digitais: Princípios e Aplicações, 8ª Edição, Ed. Pearson Capítulo 9.

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Circuitos Combinacionais MSI Parte 2 Prof. Leonardo Augusto Casillo Codificadores x Decodificadores Decodificadores (em sua maioria)

Leia mais

Multiplexador / Demultiplexador UFJF FABRICIO CAMPOS

Multiplexador / Demultiplexador UFJF FABRICIO CAMPOS Multiplexador / Demultiplexador Multiplexador / Demultiplexador 2 dados 1 bit de seleção 8 dados 3 bit de seleção 9.6) Multiplexadores (Seletores de dados) O Multiplexador seleciona um dos diversos dados

Leia mais

Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores

Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 07 Aplicação de circuitos combinacionais: roteamento e codificação de dados de Paula Rodrigues Codificação e roteamento Contexto

Leia mais

Parte # 5 - Circuitos Combinacionais

Parte # 5 - Circuitos Combinacionais CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 5 - Circuitos Combinacionais 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

3. Revisão de Eletrônica Digital

3. Revisão de Eletrônica Digital 3. Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 3.1 Aritmética Binária Representação de números em complemento de 1 Ex.: 1 1 1 1-1 1 2, 5 = 12,5d ou 12,5 1 Decimal 2 3 2 2 2 1 2

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara

Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Multiplexadores 2 Multiplexadores 3 Multiplexadores 4 Multiplexadores 4 entradas de dados I0, I1, I2, I3 2

Leia mais

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte Multiplexadores e Demultiplexadores Professor Dr. Michael Klug É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante,

Leia mais

Capítulo 1 Conceitos Introdutórios

Capítulo 1 Conceitos Introdutórios Capítulo 1 Conceitos Introdutórios slide 1 1.4 Sistemas de Números Digitais Compreender os sistemas digitais requer um entendimento dos sistemas decimal, binário, octal e hexadecimal. Decimal dez símbolos

Leia mais

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 8 Multiplexadores e Demultiplexadores Prof.: Michael É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante, para transferi-lo

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores. Daniel S Batista

Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores. Daniel S Batista Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores Daniel S Batista Daniel.Strufaldi@gmail.com Organização Contadores assíncronos Contadores de módulo < 2 N. Circuitos integrados de contadores

Leia mais

REPÚBLICA FEDERATIVA DO BRASIL ESTADO DE SANTA CATARINA Universidade do Estado de Santa Catarina - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS - UDESC/CCT

REPÚBLICA FEDERATIVA DO BRASIL ESTADO DE SANTA CATARINA Universidade do Estado de Santa Catarina - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS - UDESC/CCT Curso: ELE-ELE - Bacharelado em Engenharia Elétrica Departamento: DEE - Engenharia Elétrica Disciplina: ELETRÔNICA DIGITAL Código: ELD0001 Carga horária: 108 Período letivo: 2017/1 Professor: Antonio Heronaldo

Leia mais

Sistemas Digitais Apresentação

Sistemas Digitais Apresentação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Apresentação Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel A. Furtado Aulas Teóricas e

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Combinacionais (Parte

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 5: Análise de Circuitos Multiplexadores e Demultiplexadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 5: Análise de Circuitos Multiplexadores e Demultiplexadores 22 1.Objetivos Utilizar um circuito multiplexador e um demultiplexador como elementos básicos de um sistema de transmissão de uma palavra de 8 bits. Utilizar o multiplexador para implementar uma função

Leia mais

Codificadores/Decodificadores Multiplexadores/Demultiplexadores

Codificadores/Decodificadores Multiplexadores/Demultiplexadores Codificadores/Decodificadores Multiplexadores/Demultiplexadores Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 30 de abril de 2015 1 / 14 Codificadores/Decodificadores

Leia mais

CARGA HORÁRIA TOTAL : 108 h/aulas TEORIA: 72 h/aulas PRÁTICA: 36 h/aulas. CURSO(S): Engenharia Elétrica SEMESTRE/ANO : 02/2010

CARGA HORÁRIA TOTAL : 108 h/aulas TEORIA: 72 h/aulas PRÁTICA: 36 h/aulas. CURSO(S): Engenharia Elétrica SEMESTRE/ANO : 02/2010 P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD PRÉ-REQUISITOS: CARGA HORÁRIA TOTAL : 108 h/aulas TEORIA: 72 h/aulas PRÁTICA: 36 h/aulas CURSO(S):

Leia mais

Multiplexadores e Demultiplexadores

Multiplexadores e Demultiplexadores Eletrônica Digital II Multiplexadores e Demultiplexadores Prof. Msc. Getúlio Teruo Tateoki 1 -Um multiplex digital ou seletor de dados é um circuito lógico que aceita várias entradas de dados digitais

Leia mais

Aula Ementa Conteúdo Data

Aula Ementa Conteúdo Data UFABC - Universidade Federal do ABC CMCC - Centro de Matemática Computação e Cognição Campus Santo André - Avenida dos Estados, 5001. Bairro Santa Terezinha. Santo André - SP - Brasil. CEP 09210-580. Tel:

Leia mais

Parte # 1 - Circuitos Combinatórios

Parte # 1 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 1 - Circuitos Combinatórios Prof. Alessandro Jacoud Peixoto 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO EEL 5310 SISTEMAS DIGITAIS Créditos: 5, sendo 3 créditos de teoria e 2 créditos laboratório Número de aulas 90

Leia mais

Multiplexadores e Demultiplexadores

Multiplexadores e Demultiplexadores Multiplexadores e Demultiplexadores Multiplexador (MUX) é um circuito combinacional dedicado com a finalidade de selecionar, por meio de variáveis de seleção, uma de suas entradas, conectando-a à uma saída.

Leia mais

21/07/2010. Multiplexador Definição ELETRÔNICA DIGITAL. Multiplexador Circuito Básico

21/07/2010. Multiplexador Definição ELETRÔNICA DIGITAL. Multiplexador Circuito Básico 2/7/2 Multiplexador Definição ELETRÔNIC DIGITL Parte 8 Multiplexadores e Demultiplexadores É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante,

Leia mais

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Circuitos Combinacionais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Circuitos Combinacionais Nessa aula será abordados os seguintes conceitos: Circuitos Exclusive-OR e Exclusive-NOR; Escalas

Leia mais

Universidade Federal de Uberlândia Faculdade de Computação

Universidade Federal de Uberlândia Faculdade de Computação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 7 Introdução aos Circuitos Codificadores e Decodificadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais

Leia mais

PCS3515 Sistemas Digitais. Blocos Básicos

PCS3515 Sistemas Digitais. Blocos Básicos PCS355 Sistemas Digitais Blocos Básicos - Tri-State e Multiplexadores - Seções 6.6 e 6.7 livro texto Com apoio do material dos demais professores 208/ Tri State Compartilhamento de uma via Timing para

Leia mais

Disciplina: Eletrônica Digital Aluno: Nº: Código: EL52M Turma: Prof.: Gustavo B. Borba Código: Data: / /

Disciplina: Eletrônica Digital Aluno: Nº: Código: EL52M Turma: Prof.: Gustavo B. Borba Código: Data: / / Ministério da Educação Centro Federal de Educação Tecnológica do Paraná Departamento Acadêmico de Eletrônica DAELN Curso Superior de Tecnologia em Mecatrônica Disciplina: Eletrônica Digital Aluno: Nº:

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

SSC512 Elementos de Lógica Digital. Mux / Demux. GE4 Bio

SSC512 Elementos de Lógica Digital. Mux / Demux. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Mux / Demux GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr.

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Circuitos Digitais Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Plano de Ensino e Aprendizagem ( PEA) OBJETIVOS DA AULA : - Continuar o estudo dos Circuitos Sequenciais; - Circuitos Registradores

Leia mais

CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES

CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES Roteiro Laboratorial Nº 4 CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES BARROS, E. C. 1, NASCIMENTO, L. A. F. 1, MOURA, A. F. L. 1, EGOAVIL, C. J. 2 1 Monitor (a) da disciplina

Leia mais

Lista de Materiais. Laboratório P111 BC Resistor ¼ W

Lista de Materiais. Laboratório P111 BC Resistor ¼ W Lista de Materiais Material Material equivalente CMOS Quantidade (máxima por bancada por experiência) Laboratório P111 C547 04 Resistor ¼ W 04 5,6k Resistor ¼ W 02 470 Resistor ¼ W 04 47k Resistor ¼ W

Leia mais

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Introdução à Eletrônica É ciência que estuda a forma de controlar a energia elétrica por meios elétricos nos quais os

Leia mais

Introdução a eletrônica digital, apresentação do curso, cronograma do curso.

Introdução a eletrônica digital, apresentação do curso, cronograma do curso. EMENTA: Ferramentas para simulação e projeto de sistemas digitais. Equipamentos e componentes para montagem de sistemas digitais. Equipamentos para mensuração e teste na implementação de sistemas digitais.

Leia mais

Nível da Lógica Digital

Nível da Lógica Digital Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Circuitos Integrados CIs (chips/pastilhas) Agrupa portas lógicas Pastilhas de silício DIP (Dual Inline Package) Invóculo c/ 2 linhas

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO PLANO DE ENSINO

PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO PLANO DE ENSINO PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO Disciplina: Sistemas Digitais para Computação PLANO DE ENSINO Curso: Engenharia de Computação/ Ciência da

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1)

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1) Circuitos Integrados Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 CIs (chips/pastilhas) Agrupa portas

Leia mais

Cap9) Circuitos Lógicos MSI

Cap9) Circuitos Lógicos MSI Cap9) Circuitos Lógicos MSI As informações e os dados são codificados em binário e são continuamente submetidos a diversas operações. Inúmeros CI s que realizam estas operações estão presentes na categoria

Leia mais

Circuito combinacional

Circuito combinacional Circuito combinacional É todo circuito cuja saída depende única e exclusivamente das várias combinações das variáveis de entrada. Estudando os circuitos combinacionais podemos entender o funcionamento

Leia mais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO UFMT 1) IDENTIFICAÇÃO: Disciplina: Lógica Matemática e Elementos de Lógica Digital Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO Curso:

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

MULTIPLEXADORES E DEMULTIPLEXADORES

MULTIPLEXADORES E DEMULTIPLEXADORES MULTIPLEXADORES E DEMULTIPLEXADORES OBJETIVOS: Analisar o funcionamento de multiplexadores e demultiplexadores através de circuitos integrados comerciais. INTRODUÇÃO TEÓRICA Os multiplexadores e demultiplexadores

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

Organização e Arquitetura de Computadores. A Arquitetura no nível da lógica digital Prof.: Hugo Barros

Organização e Arquitetura de Computadores. A Arquitetura no nível da lógica digital Prof.: Hugo Barros Organização e Arquitetura de Computadores A Arquitetura no nível da lógica digital Prof.: Hugo Barros email@hugobarros.com.br Portas Lógicas e Álgebra de Boole Circuitos digitais o Construídos a partir

Leia mais

Circuitos Lógicos Combinacionais Aula Prática

Circuitos Lógicos Combinacionais Aula Prática Circuitos Lógicos Combinacionais Aula Prática Objetivos 1: Aprendizado da Ferramenta TKgate 2: Construção de circuitos combinacionais complexos 3: Construção de uma ALU básica 2 bits. AND, OR CMP SOMADOR

Leia mais

PLANO DE ENSINO Engenharia Mecânica Fundamentos de Eletrônica Analógica e Digital

PLANO DE ENSINO Engenharia Mecânica Fundamentos de Eletrônica Analógica e Digital Curso: Disciplina: Carga Horária Semanal: 06 Carga Horária Total: 120 PLANO DE ENSINO Engenharia Mecânica Fundamentos de Eletrônica Analógica e Digital EMENTA Teoria dos semicondutores. Aplicações do Diodo

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

SISTEMAS DE MULTIPLEXAÇÃO E DEMULTIPLEXAÇÃO ANÁLISE DOS CIs COMERCIAIS 74LS153 e 74LS155

SISTEMAS DE MULTIPLEXAÇÃO E DEMULTIPLEXAÇÃO ANÁLISE DOS CIs COMERCIAIS 74LS153 e 74LS155 INTRODUÇÃO TEÓRICA SISTEMAS DE MULTIPLEXAÇÃO E DEMULTIPLEXAÇÃO ANÁLISE DOS CIs COMERCIAIS 74LS153 e 74LS155 Os multiplexadores e demultiplexadores pertencem a classe dos circuitos lógicos combinacionais.

Leia mais

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO DISCIPLINA ELETRÔNICA DIGITAL I Validade: A partir de 0/0. Departamento Acadêmico de Engenharia Elétrica Código SELD101 Carga Horária total: 90h Teórica: 0h Laboratório: 30h Exercício: 00h Créditos: 0

Leia mais

Sistemas Digitais. Prof. Me. Victor Machado Alves Ciência da Computação

Sistemas Digitais. Prof. Me. Victor Machado Alves Ciência da Computação Sistemas Digitais Prof. Me. Victor Machado Alves Ciência da Computação victor.alves@urisantiago.br Sistemas de Numeração digital Sistema decimal Duas posições decimais (10²) = 100 números diferentes 10ᴺ

Leia mais

PLANO DE ENSINO. Técnico em Mecatrônica

PLANO DE ENSINO. Técnico em Mecatrônica PLANO DE ENSINO 1. CURSO Técnico em Mecatrônica 2. DISCIPLINA / COMPONENTE CURRÍCULAR NOME: Eletrônica Geral CARGA HORÁRIA: 80h MÓDULO OU FASE: Módulo II ANO / SEMESTRE: 2017 / 2 PROFESSOR: Jhonatan Machado

Leia mais

Multiplexadores e Demultiplexadores

Multiplexadores e Demultiplexadores Multiplexadores e Demultiplexadores Nikolas Libert Aula 7 letrônica Digital T52C Tecnologia em Automação Industrial Multiplexadores Multiplexadores Circuitos combinacionais. Funcionam como uma chave seletora

Leia mais

Circuitos Combinacionais. Arquitetura de Computadores I

Circuitos Combinacionais. Arquitetura de Computadores I Circuitos Combinacionais Arquitetura de Computadores I Roteiro } Introdução } Gerador e Verificador de Paridade } Comparadores } Circuitos aritméticos } Somador (Half Adder e Full Adder) } Subtrator (Meio

Leia mais

CIRCUITOS DIGITAIS. Apresentação da Disciplina Introdução aos Circuitos Digitais. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Apresentação da Disciplina Introdução aos Circuitos Digitais. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Apresentação da Disciplina Introdução aos Circuitos Digitais Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau Apresentação

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara

Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Decodificadores - Um decodificador binário completo é um módulo que tem n entradas e 2 n saídas; - A cada instante

Leia mais

OHMÍMETRO DIGITAL. 1 O Projeto. 1.1 Sensor. 1.2 Conversor A/D

OHMÍMETRO DIGITAL. 1 O Projeto. 1.1 Sensor. 1.2 Conversor A/D Universidade Federal do Rio Grande do Norte Departamento de Engenharia Elétrica Disciplina: Instrumentação Eletrônica Professor: Luciano Fontes Cavalcanti Aluno: Raphael Dantas Ciríaco OHMÍMETRO DIGITAL

Leia mais

PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL

PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL Componente Curricular: Sistemas Digitais e Projeto Integrador I Carga Horária: 90h Período Letivo:

Leia mais

Circuitos Combinacionais Lógicos

Circuitos Combinacionais Lógicos Circuitos Combinacionais Lógicos Pedroni Capítulo 11 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/21 Conteúdo programático 1 Circuitos Combinacionais

Leia mais

ÍNDICE EXSTO TECNOLOGIA 3 CARACTERÍSTICAS 4 MATERIAL DIDÁTICO 5 ESPECIFICAÇÕES TÉCNICAS 6 COMPOSIÇÃO DO PRODUTO 7 OPCIONAIS 8 CONTATO 9

ÍNDICE EXSTO TECNOLOGIA 3 CARACTERÍSTICAS 4 MATERIAL DIDÁTICO 5 ESPECIFICAÇÕES TÉCNICAS 6 COMPOSIÇÃO DO PRODUTO 7 OPCIONAIS 8 CONTATO 9 ÍNDICE EXSTO TECNOLOGIA 3 CARACTERÍSTICAS 4 MATERIAL DIDÁTICO 5 ESPECIFICAÇÕES TÉCNICAS 6 COMPOSIÇÃO DO PRODUTO 7 OPCIONAIS 8 CONTATO 9 voltar ao índice EXSTO TECNOLOGIA 3 Instalada em Santa Rita do Sapucaí,

Leia mais

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC Aula Expositiva 03 2.2.5 Síntese de Circuitos Combinatórios (7 segmentos) 2.2.6 Multiplexadores e Demultiplexadores 2.3 Circuitos Sequenciais 2.3.1 Flip-flops e registradores 2.3.2 Barramentos e Controle

Leia mais

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira Departamento de Engenharia Elétrica - EESC-USP SEL-0415 Introdução à Organização de Computadores Memórias Parte 1 Aula 4 Prof. Dr. Marcelo Andrade da Costa Vieira Memória Semicondutora Elemento Básico

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Circuitos Aritméticos 1. Construa a tabela verdade de um somador completo (FA) de um bit e

Leia mais

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1 Eletrônica Digital II Flip-Flop s Prof. Msc. Getúlio Teruo Tateoki 1 Diagrama geral de um sistema digital Prof. Msc. Getúlio Teruo Tateoki 2 Símbolo geral para um flip-flop e seus dois estados de saída

Leia mais

ELETRÔNICA DIGITAL. Prof. Fabio Martins Domingues

ELETRÔNICA DIGITAL. Prof. Fabio Martins Domingues ELETRÔNICA DIGITAL Prof. Fabio Martins Domingues ELETRÔNICA DIGITAL Apresentação Nome Cidade Motivação Profissão Expectativas Time de futebol Bibliografia Ivan V. Idoeta e Francisco G. Capuano, Elementos

Leia mais

PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL

PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL Componente Curricular: Projetos de Sistemas Digitais Carga Horária: 133h Período Letivo: 2017 Turma:

Leia mais

Circuitos Digitais Walderson Shimokawa. Plano de Ensino. Ementa. Objetivos. Avaliação. Conteúdo Programático. Circuitos Digitais 10/08/2014

Circuitos Digitais Walderson Shimokawa. Plano de Ensino. Ementa. Objetivos. Avaliação. Conteúdo Programático. Circuitos Digitais 10/08/2014 Circuitos Digitais Walderson Shimokawa Plano de Ensino Circuitos Digitais 2 Ementa Sistemas de Numeração Binário Conversões de Bases Operações Aritméticas no Sistema Binário Funções e Portas Lógicas: AND,

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais 1 Circuitos Sequenciais Elementos de estado ou elementos de memória Exemplos de circuitos sequenciais: Latches Flip-flops Registradores Conjunto de registradores Memória Conjunto de Registradores Parte

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA CAMPUS ARARANGUÁ - ARA PLANO DE ENSINO SEMESTRE TURMAS TEÓRICAS TURMAS PRÁTICAS Presencial

UNIVERSIDADE FEDERAL DE SANTA CATARINA CAMPUS ARARANGUÁ - ARA PLANO DE ENSINO SEMESTRE TURMAS TEÓRICAS TURMAS PRÁTICAS Presencial I. IDENTIFICAÇÃO DA DISCIPLINA: UNIVERSIDADE FEDERAL DE SANTA CATARINA CAMPUS ARARANGUÁ - ARA PLANO DE ENSINO SEMESTRE 2017.2 CÓDIGO NOME DA DISCIPLINA N O DE HORAS-AULA SEMANAIS TEÓRICAS PRÁTICAS TOTAL

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica. Eletrônica Digital. Plano de Ensino 2015_2

Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica. Eletrônica Digital. Plano de Ensino 2015_2 Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Eletrônica Digital Plano de Ensino 2015_2 Prof. Ivan Sebastião de Souza e Silva www.ufpa.br/ivan ivan@ufpa.br Eletrônica

Leia mais

PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL

PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL PLANO DE ENSINO CURSO DE EDUCAÇÃO PROFISSIONAL TÉCNICA DE NÍVEL MÉDIO INTEGRADO EM AUTOMAÇÃO INDUSTRIAL Componente Curricular: Sistemas Digitais e Projeto Integrador I Carga Horária: 97h Período Letivo:

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

Operações com vetores

Operações com vetores Sistemas Digitais GRECO/25 Operações com vetores Possíveis formas de Operação binárias Oper. Oper. 2 Resultado Comentário Escalar Escalar Escalar Operação padrão Escalar vetor Escalar Não existe Vetor

Leia mais

SEL 0412 Tecnologia Digital Teoria

SEL 0412 Tecnologia Digital Teoria SEL 0412 Tecnologia Digital Teoria Aquisição de Dados Profa. Tania Regina Tronco Conceito É a coleta de informações para fins de análise dos dados e consequente controle e monitoramento de um processo;

Leia mais

CAPÍTULO IV. Um display de 7 segmentos mostra ao usuário de um sistema digital um algarismo de 0 a 9, conforme mostra as figuras 1,2 e 3.

CAPÍTULO IV. Um display de 7 segmentos mostra ao usuário de um sistema digital um algarismo de 0 a 9, conforme mostra as figuras 1,2 e 3. CAPÍTULO IV Circuitos Digitais Combinacionais 1 - INTRODUÇÃO Vimos no capitulo anterior que uma desejada função lógica pode ser implementada mediante a combinação de portas lógicas. Esta combinação de

Leia mais

Sistemas Digitais. Multiplexadores Demultiplexadores Arranjos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Multiplexadores Demultiplexadores Arranjos. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Multiplexadores Demultiplexadores Arranjos Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) O que é um Multiplexador Um Multiplexador ou MUX é um circuito

Leia mais

SERVIÇO PÚBLICO FEDERAL MINISTÉRIO DA EDUCAÇÃO UNIVERSIDADE FEDERAL DE UBERLÂNDIA

SERVIÇO PÚBLICO FEDERAL MINISTÉRIO DA EDUCAÇÃO UNIVERSIDADE FEDERAL DE UBERLÂNDIA Faculdade de Computação COLEGIADO DO CURSO DE GRADUAÇÃO EM SISTEMAS DE INFORMAÇÃO 1. IDENTIFICAÇÃO PLANO DE ENSINO COMPONENTE CURRICULAR: Sistemas Digitais UNIDADE OFERTANTE: FACOM CÓDIGO: GBC026 PERÍODO/SÉRIE:

Leia mais

Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática. Eletrônica Digital

Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática. Eletrônica Digital Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática Eletrônica Digital Disciplina Professor: Flávio Rogério Uber E-mail: flavio.uber@gmail.com Bloco C56 sala 24 Programa )

Leia mais

Introdução Conversão Digital Analógica Conversão Analógica Digital Referências. Conversão D/A e A/D. Aula 01 - DAC / ADC

Introdução Conversão Digital Analógica Conversão Analógica Digital Referências. Conversão D/A e A/D. Aula 01 - DAC / ADC Conversão D/A e A/D Aula 01 - DAC / ADC Heitor Medeiros Florencio 1 heitorm@dca.ufrn.br 1 Universidade Federal do Rio Grande do Norte Centro de Tecnologia Departamento de Engenharia de Computação e Automação

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Aula 8. Multiplexadores. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 8. Multiplexadores. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Multiplexadores SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 4. Circuitos Multiplexadores l Circuitos seletores de dados ; l Chave seletora digital; l Seleciona um dos diversos

Leia mais

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Álgebra Booleana Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Nas primeiras

Leia mais

EXPERIÊNCIA 7 MUX e DEMUX

EXPERIÊNCIA 7 MUX e DEMUX 1 MEC UTFPR-CT DAELT CURSO: ENGENHARIA INDUSTRIAL ELÉTRICA DISCIPLINA: ELETRÔNICA DIGITAL Prof.: EXPERIÊNCIA 7 MUX e DEMUX DATA REALIZAÇÃO: DATA ENTREGA: ALUNOS: e e Planejamento: Execução: Relatório:

Leia mais

Nome legível: Assinatura: Como soube do mestrado? LEIA COM ATENÇÃO

Nome legível:   Assinatura: Como soube do mestrado? LEIA COM ATENÇÃO Prova de Seleção Data: 17 / 02 / 2014 e-mail: Assinatura: Como soube do mestrado? LEIA COM ATENÇÃO QUESTÕES DE ÁREA DESTA PROVA: FÍSICA (2), ELETRÔNICA DIGITAL (1), SISTEMAS DE MEDIDAS (1), ELETRÔNICA

Leia mais

Projeto de Lógica Combinatória

Projeto de Lógica Combinatória Projeto de Lógica Combinatória S. W. Song MAC 412 - Organização de Computadores Lógica combinatória Esse assunto já foi visto em Álgebra Booleana. Aqui vamos apenas tratar de alguns tópicos específicos.

Leia mais