PUC-Rio. Dispositivos Lógicos Programáveis

Tamanho: px
Começar a partir da página:

Download "PUC-Rio. Dispositivos Lógicos Programáveis"

Transcrição

1 Dispositivos Lógicos Programáveis 1

2 Dispositivos Lógicos Programáveis PLDs (Programmable Logic Devices) são circuitos integrados cujas conexões internas podem ser programadas pelo usuário de modo a realizar diferentes projetos de circuitos lógicos. 2

3 Vantagens facilidade para o desenvolvimento de protótipos simulação do projeto intrínseca (no próprio hardware) baixo risco financeiro de desenvolvimento de projetos facilidade para introduzir mudanças no projeto rápida produção 3

4 Evolução de Sistemas de Hardware Lógica Estática Lógica Programável Lógica Reconfigurável Computação Reconfigurável Sistemas Evolutivos 4

5 Terminologia SPLD: simples PLD (PLA ou PAL) CPLD: PLD complexo (FPGA, superpal, megapal) Capacidade Lógica: medida em número de portas 2-input-AND Densidade Lógica: quantidade de lógica/unidade de área Bloco Lógico: bloco lógico replicado em FPGAs Chave Programável: dispositivo que programa a conexão de elementos lógicos e de fios 5

6 Tipos de PLDs PROM - PLA - PAL - PLS - FPGA - Programmable ROM Programmable Logic Array Programmable Array Logic Programmable Logic Sequencer Field Programmable Gate Array FPAA - Field Programmable Analog Array 6

7 PROM O primeiro chip programável pelo usuário. linhas de endereço servem como inputs linhas de dados como output PROM pode implementar qualquer circuito combinacional Não volátil Ex: Microprogramação 7

8 Estrutura da PROM PUC-Rio plano AND fixo: todos os termos produtos: decodificador plano OR programável: codificador 8

9 PROM Implementando Circuito Lógico 9

10 PLA Uma PLA consiste de um plano AND programável e um plano OR programável. Plano AND Plano OR termos produtos das variáveis de entrada programável soma de produtos programável quaisquer entradas podem ser combinadas no plano AND qualquer soma de produtos pode ser realizada no plano OR grande versatilidade (planos programáveis) 10

11 Programmable Logic Arrays (PLAs) Como realizar qualquer lógica combinacional como soma de produtos? Idéia: construir um grande vetor AND-OR com muitas entradas e termos produto e programar as conexões. n entradas gates AND têm 2n entradas -- verdade e complemento de cada variável. m saídas, acionadas por gates OR cada gate AND tem sua conexão aos OR gates programável. p gates AND (p<<2 n ) 11

12 Exemplo: 4x3 PLA, 6 termos PUC-Rio produto 12

13 Representação Compacta 13

14 Alguns termos produto PUC-Rio 14

15 PLA - Circuito Interno Se Iinha=0 => transistor=off Se Iinha=1 => transistor=on => coluna=0 See Section wired-and logic função NOR A conexão é realizada por máscara de metal e não por fusível 15

16 Projeto Automatizado em PLA Simplificação da expressão de funções Booleanas complexas a partir do uso de ferramentas computacionais (Espresso); Seleção do menor número de termos produto que cubra todas as funções; Programação da PLA. 16

17 PAL PUC-Rio Uma PAL consiste de um plano AND programável e um plano OR fixo. Muitas aplicações não requerem a versatilidade da PLA: a PAL é mais rápida, mais fácil de fabricar e de maior densidade lógica. Plano AND Plano OR termos produtos das variáveis de entrada programável soma de produtos fixo quaisquer entradas podem ser combinadas no plano AND vários tamanhos de PALs compensam a pouca generalidade flip-flops conectados à saída implementam circuitos sequenciais 17

18 Estrutura do PAL plano AND programável plano OR fixo 18

19 Programmable Array Logic PUC-Rio (PALs) PALs ==> fixed OR array Cada gate AND é permanentemente conectado a um gate OR. Exemplo: PAL16L8 19

20 10 entradas 8 saídas, com 7 ANDs por saída 1 AND para controle de 3- state 6 saídas disponíveis como entradas Note inversão das saídas: PUC-Rio output é complemento da soma de produtos novas PALs possuem inversão selecionável 20

21 PAL Termos-produto não podem mais ser compartilhados; Número de termos-produtos por seção da PAL é fixo. 21

22 PLS PUC-Rio PAL com Flip-Flops incorporados às saídas do plano OR, permite a realização de circuitos sequenciais. 22

23 FPGA Consiste de um array de blocos lógicos dissociados e de recursos de interconecção, configuráveis pelo usuário. benefícios do VLSI sem:custo inicial, risco, etc possui alta capacidade lógica utiliza SRAM ou Anti-fuse como chave requer ferramentas de software para projetos principais fabricantes: Xilinx, Altera, Actel, QuickLogic está transformando o projeto de circuitos digitais 23

24 Estrutura da FPGA 24

25 Bloco Lógico Configurável 25

26 look-up table: 2 k x1bit RAM realiza funções lógicas de k entradas 2 flip-flops portas lógicas entrada de relógio 26

27 Canais de Roteamento da FPGA WIRES: single double long 27

28 Tipos de FPGA Arquitetura Interna: Symmetrical Array Row-Based Hierarchical PLD Sea of Gates Chave: SRAM EPROM/EEPROM Anti-fuse 28

29 Aplicações de FPGAs controladores codificadores de comunicação filtros grandes sistemas através de várias FPGA interconectadas máquina customizada: FPGA executando software ao invés de compilar o software para executar na CPU 29

30 Chaves Programáveis CHAVE REPROG.? VOLÁTIL? TECNOL. Fusível não não Bipolar EPROM sim não UVCMOS EEPROM sim não EECMOS SRAM sim sim CMOS Anti-fuse não não CMOS+ 30

31 Fuse PUC-Rio Alta corrente elétrica na programação; Pouco utilizada nos dias atuais. Anti -Fuse Contrário de Fuse; Derretimento de um material separando dois condutores. Processos irreversíveis. 31

32 Chaves Controladas por RAM se bit=1-> transistor ON = chave fechada se bit=0 -> transistor OFF = chave aberta PUC-Rio * rápida reprogramação em operação 32

33 Chaves Controladas por RAM PUC-Rio SRAM utilizada como Look-Up Table: Entradas lógicas = linhas de endereço; Saídas lógicas = Dados; 33

34 CAD para PLDs PUC-Rio entrada de projeto (esquemático ou HDL) otimização lógica (minimização) adaptação do projeto ao PLD simulação verifica operação correta arquivo de configuração programa o PLD 34

35 CAD para FPGAs necessita de ferramentas adicionais: um mapeador para transformar o circuito lógico em blocos lógicos da FPGA; uma ferramenta de placement para escolher os blocos específicos da FPGA; um roteador para alocar segmentos de fios na interconecção dos blocos lógicos. 35

36 Novas Aplicações de FPGAs Computação Virtual: forma de computação escalável onde as partes críticas dos algoritmos são implementadas em hardware (FPGAs) Programação Orientada a Objetos em Hardware: objetos são algoritmos implementados em FPGAs Hardware Evolucionário: projeto através de evolução artificial do circuito sem a utilização de técnicas convencionais (Algoritmos Genéticos) 36

37 Hardware Evolucionário EVOLVABLE HARDWARE Aplicação de Computação Evolucionária no Projeto, Otimização e Síntese de Sistemas

38 O Que é Evolvable Hardware? Área que investiga a aplicação de Computação Evolucionária no projeto, otimização ou síntese de sistemas de hardware: circuitos eletrônicos; robôs; controladores; outras estruturas (civil, mecânica, etc); Evoluir ao invés de projetar

39 Projeto de Sistemas Evolvable Hardware determinação de valores e/ou tipos dos componentes empregados no projeto de um sistema; Otimização determinação dos valores ótimos (semi-ótimos) dos valores ou dimensões dos componentes de um sistema; Síntese identificação da estrutura/arquitetura de um sistema e a determinação dos componentes (tipos e valores).

40 Projeto e Síntese Simulador ou Circuito Reconfigurável componentes objetivos estrutura avaliação Sistema Evolucionário Hardware Sintetizado

41 Eletrônica Evolutiva Evolução Extrínseca Avaliação feita por simuladores ( SPICE, SIMON) Requerem muito tempo para avaliar os circuitos Não consideram todas as propriedades da física do meio eletrônico Podem sintetizar circuitos que não funcionam quando implementados no meio real Evolução Intrínseca Avaliação feita em plataformas reconfiguráveis Síntese de circuitos digitais: FPGA Síntese de circuitos analógicos: FPAA

42 Modelagem de EHW Representação: circuito cromossoma Decodificação: cromossoma circuito Avaliação: erro da saída obtida através de simulação/teste do circuito Operadores Genéticos:crossover, mutação

43 Avaliação dos Filhos Ciclo do Algoritmo Genético Cromossoma Palavra Aptidão A B C D Pais f( ) Evolução Filhos Reprodução

44 Representação por cadeia linear de genes 2 4 C 10uF NPN R 10k.. Cada gene codifica um componente;

45 Evolução de uma Random Logic Control Unit R Read Main Store Address InputWrite Barramento Gmsr Gmsw Cmar Cmbr Cir Cpc Cdo Calu W MAR MBR IR PC D0 ALU Ealu Embr Gmbr Eir Gir Epc Gpc Edo Gdo Galu

46 Evolução Intrínseca Avaliação em plataformas reconfiguráveis FPAA: Field Programmable Analogic Array FPAA dispõe de componentes analógicos e recursos para conexão Algoritmo Genético configura interconexões Sinais de saída são lidos, convertidos para digital e o circuito é avaliado

Hardware Evolucionário

Hardware Evolucionário Hardware Evolucionário EVOLVABLE HARDWARE Aplicação de Computação Evolucionária no Projeto, Otimização e Síntese de Sistemas Sumário O que é Evolvable Hardware? Taxonomia Exemplos de Aplicação; Projeto

Leia mais

Sistema Evolucionário

Sistema Evolucionário Hardware Evolucionário EVOLVABLE HARDWARE Aplicação de Computação Evolucionária no Projeto, Otimização e Síntese de Sistemas 1 Sumário O que é Evolvable Hardware? Taxonomia Exemplos de Aplicação; Projeto

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

PCS 3115 Sistemas Digitais I

PCS 3115 Sistemas Digitais I PCS 35 Sistemas Digitais I Módulo 7 Introdução às Memórias Edison versão:. (maio de 28). Conceituação Dispositivos que armazenam dados em grandes quantidades. Flip-Flop s e registradores também têm esta

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 26/08/2015 Prof. Alexandre - ELP1DLP1 1 26/08/2015 Prof. Alexandre - ELP1DLP1 2 INTRODUÇÃO A LÓGICA DIGITAL Circuitos Integrados (CI): Fonte: Brown, S., Vranesic,

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro Processador A função de um computador é executar tarefas com a finalidade de resolver problemas. Uma tarefa

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro airton.ribeiros@gmail.com Processador A função de um computador é executar tarefas com a finalidade de

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP Componentes Programáveis pelo Utilizador PLD, CPLD e FPGAs José Miguel Vieira dos Santos jvs@isep.ipp.pt JMVS - SACP 2005 1 PLD- Progammable Logic Devices O termo PLD abrange uma vasta gama de componentes

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Computadores dedicados

Computadores dedicados Computadores dedicados CPU processador de usos gerais produção em larga escala, baixo custo ASIP processador para uma área de aplicação desenvolvimento ASIC circuito dedicado para uma aplicação circuito

Leia mais

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti Dispositivos Lógicos Programáveis Prof. Luiz Fernando Copetti copetti@utfpr.edu.br luizcopetti@gmx.de Luiz Fernando Copetti Mestre em Ciências UTFPR 2008 Engenheiro Eletrônico UTFPR - 1991 Engenheiro de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Sempre que ocorre uma grande

Sempre que ocorre uma grande Nova abordagem para o ensino de Eletrônica Digital A tecnologia digital cresce de forma exponencial, novos equipamentos e sistemas são especialmente projetados para trabalhar em Automação. O projeto e

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

Standards para Memórias

Standards para Memórias Standards para Memórias Os fabricantes de memórias utilizam várias notações para a descrição dos sinais de temporização das memórias. Na tentativa de uniformizar essas notações foi proposto um standard

Leia mais

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores]

Memórias RAM e ROM. Adriano J. Holanda 9/5/2017. [Introdução à Organização de Computadores] Memórias RAM e ROM [Introdução à Organização de Computadores] Adriano J Holanda 9/5/2017 Memória de acesso aleatório RAM Random Access Memory Armazenamento temporário de programas em execução e dados;

Leia mais

Eletrônica Digital. Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Memórias Semicondutoras Os circuitos de memória estão presentes em sistemas computacionais como element de armazenamento

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-3451- Projeto de Circuitos Lógicos Integrados Pequeno Manual da Família Cyclone II (2017) Conteúdo:

Leia mais

Memórias. SEL-415 Introdução à Organização dos Computadores. Parte 1. Aula 4. Profa. Luiza Maria Romeiro Codá

Memórias. SEL-415 Introdução à Organização dos Computadores. Parte 1. Aula 4. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP SEL-415 Introdução à Organização dos Computadores Aula 4 Memórias Parte 1 Profa. Luiza Maria Romeiro Codá Autores: Prof. Dr. Marcelo Andrade

Leia mais

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio ARQUITETURA DE COMPUTADORES Nível da Lógica Digital Prof.: Agostinho S. Riofrio Agenda 1. Portas Lógicas 2. Algebra de Boole 3. Equivalencia de circuitos 4. Circuitos Lógicos Digitais 5. Relógio 6. Memória

Leia mais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais 2 Sistemas Digitais Aula 2 Introdução à Sistemas Embarcados Prof. Abel Guilhermino Centro de Informática Universidade Federal de Pernambuco Circuitos Digitais Representação Numérica Analógica As entradas

Leia mais

Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP. Memórias de Dados e de Programa

Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP. Memórias de Dados e de Programa 4. Memórias de Dados e de Programa Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 4.1 Memórias Semicondutoras Algumas definições Célula: Dispositivo de armazenamento de 1 bit. Palavra:

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018)

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018) PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (218) Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.12 PCS 234 Sistemas

Leia mais

SRAM Static RAM. E/L Escrita Leitura. FPM DRAM Fast Page Mode EDO DRAM Extended Data Output SDRAM Synchronous DDR SDRAM Double Data Rate RDRAM Rambus

SRAM Static RAM. E/L Escrita Leitura. FPM DRAM Fast Page Mode EDO DRAM Extended Data Output SDRAM Synchronous DDR SDRAM Double Data Rate RDRAM Rambus TIPOS DE MEMÓRIA RAM Random Access Memory E/L Escrita Leitura SRAM Static RAM DRAM Dynamic RAM FPM DRAM Fast Page Mode EDO DRAM Extended Data Output SDRAM Synchronous DDR SDRAM Double Data Rate RDRAM Rambus

Leia mais

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio

SSC512 Elementos de Lógica Digital. Memórias. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Memórias GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr. Danilo

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Nov/18 1 Definições São blocos que armazenam informações codificadas digitalmente. A localização de uma unidade de dado num arranjo de memória é denominada

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 7 Armazenamento e Memória Digital Professor Dr. Michael Klug 1 Definição Dispositivo capaz de armazenar informação Capacitor (transistor+capacitor), flip-flop, registradores

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais 1 - Introdução

Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais 1 - Introdução Pontifícia Universidade Católica do Rio Grande do Sul Instituto de Informática (II-PUCRS) Grupo de Apoio ao Projeto de Hardware - GAPH Projeto Lógico Automatizado de Sistemas Digitais Seqüenciais - Introdução

Leia mais

MEMÓRIAS PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO

MEMÓRIAS PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO MEMÓRIAS MEIOS: PAPEL FOTOGRAFIA FITA PERFURADA DISPOSITIVOS MAGNÉTICOS DISPOSITIVOS ÓPTICOS DISPOSTIVOS DE ESTADO SÓLIDO TÉCNICAS: IMPRESSÃO CARGAS ELÉTRICAS MODIFICAÇÃO DE ÍNDICES ÓPTICOS DE SUPERFÍCIES

Leia mais

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO)

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO) LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE 2005- COMPUTAÇÃO) 1) Apesar de todo o desenvolvimento, a construção de computadores e processadores continua, basicamente, seguindo a arquitetura clássica de von

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Projeto de Sistemas Embarcados

Projeto de Sistemas Embarcados Projeto de Sistemas Embarcados Pós-Graduação em Engenharia Elétrica Prof. Dr. Joselito A. Heerdt Joselito.heerdt@.udesc.br PLANEJAMENTO 1. Introdução 2. O projeto de sistemas 3. Projeto de hardware 4.

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr.

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.2 PCS 234 Sistemas Digitais II Memórias Dispositivos

Leia mais

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 5 Memória interna

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 5 Memória interna William Stallings Arquitetura e Organização de Computadores 8 a Edição Capítulo 5 Memória interna Os textos nestas caixas foram adicionados pelo Prof. Joubert slide 1 Tipos de memória de semicondutor slide

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

Síntese do datapath. Sistemas Digitais síncronos

Síntese do datapath. Sistemas Digitais síncronos Síntese do datapath S.D. - controlo e processamento de informação unidade de processamento (datapath) processamento e comunicação de dados registos, ALUs, outros operadores dedicados (FUs), barramentos

Leia mais

Introdução aos circuitos integrados de aplicação específica

Introdução aos circuitos integrados de aplicação específica Introdução aos circuitos integrados de aplicação específica João Canas Ferreira 2007-09-17 Tópicos de Projecto de VLSI digital Assuntos Tópicos 1 2 Circuitos programáveis 3 Fluxo de projecto Contém figuras

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 9: Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis Chips programáveis podem ser personalizados segundo as necessidades do usuário.

Leia mais

Universidade de São Paulo

Universidade de São Paulo Universidade de São Paulo Organização de Computadores Dr. Jorge Luiz e Silva Cap 2 Memória Secundária Memória Principal Memória Secundária - Armazenam informações que precisam ser transferidas para a Memória

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Arquitetura e Funcionamento do Computador

Arquitetura e Funcionamento do Computador Arquitetura e Funcionamento do Computador Memória É todo componente capaz de ARMAZENAR informações. Memórias Digitais Memórias: são componentes responsáveis por armazenar dados e programas (instruções)

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Introdução aos Circuitos Integrados de Aplicação Específica

Introdução aos Circuitos Integrados de Aplicação Específica Introdução aos Circuitos Integrados de Aplicação Específica João Canas Ferreira Projecto de Circuitos VLSI FEUP/LEEC Contém figuras de Application-Specific Integrated Circuits, Michael J. S. Smith, Addison-Wesley

Leia mais

ROM

ROM Capítulo 3 Sumário 3.1 Memórias... 38 3.2 Estrutura geral e organização de uma memória... 38 3.3 Tipos Básicos - ROM (Read Only Memory)... 39 3.3.1 Ampliação da capacidade da ROM... 41 3.3.2 ROMs Programáveis...

Leia mais

SÍNTESE EVOLUCIONÁRIA DE CIRCUITOS DIGITAIS EMPREGANDO FPGA S

SÍNTESE EVOLUCIONÁRIA DE CIRCUITOS DIGITAIS EMPREGANDO FPGA S SÍNTESE EVOLUCIONÁRIA DE CIRCUITOS DIGITAIS EMPREGANDO FPGA S Aluno: Rogério Cortez B. L. Póvoa Orientador: Marco Aurélio C. Pacheco 1. Introdução 1.1. Motivação O hardware evolutivo (Evolvable Hardware

Leia mais

Arquitetura FPGAs e CPLDs da ALTERA RESUMO

Arquitetura FPGAs e CPLDs da ALTERA RESUMO Arquitetura FPGAs e CPLDs da ALTERA RESUMO André Felippe Weber 1 Helenluciany Cechinel 1 Maria Luiza Theisges 1 Marcos Moecke 2 A tecnologia envolvida nos circuitos digitais vem se desenvolvendo cada vez

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

COMPUTADOR. Adão de Melo Neto

COMPUTADOR. Adão de Melo Neto COMPUTADOR Adão de Melo Neto 1 COMPUTADOR COMPUTADOR Barramento de Endereços: Determina qual a posição de memória que irá ser lida ou escrita (unidirecional). Barramento de Endereços: Transporta o dados

Leia mais

Circuitos Lógicos. Prof. Odilson Tadeu Valle

Circuitos Lógicos. Prof. Odilson Tadeu Valle Introdução Circuitos Lógicos Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/44 Sumário 1 Introdução 2 Analógico Versus Digital 3 Bits, Bytes e

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 10: MEMÓRIA E HIERARQUIA DE MEMÓRIAS Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação MEMÓRIA SÃO TODOS

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica CURSO: Engenharia Elétrica DISCIPLINA: Sistemas Digitais CÓDIGO: EEL 7020 CRÉDITOS: 04 (02 Teoria e 02 Prática) CARGA HORÁRIA: 72 horas-aula REQUISITOS: OFERTA: Pré-requisito:

Leia mais

Tutorial PET-Tele. Circuitos Digitais Configuráveis

Tutorial PET-Tele. Circuitos Digitais Configuráveis Universidade Federal Fluminense UFF Escola de Engenharia TCE Curso de Engenharia de Telecomunicações TGT Programa de Educação Tutorial PET Grupo PET-Tele Tutorial PET-Tele Introdução aos Circuitos Digitais

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 13: Dispositivos de Memória TOCCI, Sistemas Digitais, Sec. 12.1 12.9 http://sites.google.com/site/eletdigi/ Dispositivos de Memória Memórias conhecidas

Leia mais

Arquitetura de Computadores Memória Principal

Arquitetura de Computadores Memória Principal Arquitetura de Computadores Memória Principal Memória Principal A Memória Principal é a memória que, na sua concepção original, guardaria tanto os programas em execução quanto os dados utilizados por estes

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica CURSO: Engenharia Elétrica DISCIPLINA: Sistemas Digitais CÓDIGO: EEL 7020 CRÉDITOS: 04 (02 Teoria e 02 Prática) CARGA HORÁRIA: 72 horas-aula REQUISITOS: OFERTA: Pré-requisito:

Leia mais

Sistemas Digitais: Introdução

Sistemas Digitais: Introdução Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Sistemas Digitais: Introdução DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Objetivo: Projetar Sistemas

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Universidade de Brasília. Sistemas Digitais. (recapitulando) Organização e Arquitetura de Computadores

Universidade de Brasília. Sistemas Digitais. (recapitulando) Organização e Arquitetura de Computadores Universidade de Brasília Sistemas Digitais (recapitulando) Organização e Arquitetura de Computadores WWWebster Dictionary Main Entry: in for mat ics Pronunciation: "in-f&r-'ma-tiks Function: noun plural

Leia mais

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES PROF. DEJAIR PRIEBE

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES PROF. DEJAIR PRIEBE ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES PROF. DEJAIR PRIEBE PROCESSADOR A função de um computador é executar tarefas com a finalidade de resolver problemas. Uma tarefa pode ser executada por meio de

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias ELE 1078 - Microprocessadores I AULA 12 Arquitetura do Microprocessador 8085 -Interface com as memórias 12.1 - Estrutura das Memórias Memória de Leitura / Escrita (R / W memory). Grupo de registradores;

Leia mais

Latch SR (Set/Reset)

Latch SR (Set/Reset) Memória Nível da Lógica Digital (Aula 8) Memória Nível Lógico A memória é usada para armazenar tanto instruções a serem executadas quanto os dados usados na execução de algumas dessas instruções Para se

Leia mais

HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO. Wagner de Oliveira

HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO. Wagner de Oliveira HARDWARE COMPONENTES BÁSICOS E FUNCIONAMENTO Wagner de Oliveira SUMÁRIO Hardware Definição de Computador Computador Digital Componentes Básicos CPU Processador Memória Barramento Unidades de Entrada e

Leia mais

Prof. José Arthur da Rocha. Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ

Prof. José Arthur da Rocha. Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ Circuitos Lógicos Prof. José Arthur da Rocha Departamento de Engenharia Eletrônica e de Computação Escola Politécnica / UFRJ Programa 1 - Introdução aos Sistemas Digitais 2 - Álgebra de Boole 3 - Circuitos

Leia mais

Arquitetura de Computadores Aula 9 Portas Lógicas

Arquitetura de Computadores Aula 9 Portas Lógicas Arquitetura de Computadores Aula 9 Portas Lógicas Prof. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/18 * Definição * Tipos de portas lógicas (operadores) * Aplicações - porta de transferência

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

Prof. Benito Piropo Da-Rin. Arquitetura, Organização e Hardware de Computadores - Prof. B. Piropo

Prof. Benito Piropo Da-Rin. Arquitetura, Organização e Hardware de Computadores - Prof. B. Piropo Prof. Benito Piropo Da-Rin Usadas predominantemente na MP devido às suas características: Permitem acesso apenas através do endereço. Ocupam pouco espaço. Grande quantidade de bits podem ser armazenados

Leia mais

Sistemas de Computação

Sistemas de Computação Sistemas de Computação Sexta Aula Haroldo Gambini Santos Universidade Federal de Ouro Preto - UFOP 15 de abril de 2010 Haroldo Gambini Santos Sistemas de Computação 1/17 Seção 1 A Memória Principal 2 Outros

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

13 CIRCUITOS DIGITAIS MOS

13 CIRCUITOS DIGITAIS MOS 13 CIRCUITOS DIGITAIS MOS 13.1. CONCEITOS BÁSICOS 13.1.1. Tecnologias de CIs Digitais e Famílias de Circuitos Lógicos Cada família é fabricada com uma mesma tecnologia, possui a mesma estrutura e oferece

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica e Eletrônica DISCIPLINA: Circuitos e Técnicas Digitais CÓDIGO: EEL 5105 CRÉDITOS: 05 (02 Teoria e 03 Prática) CARGA HORÁRIA: 90 horas-aula OFERTA: Ciência da Computação

Leia mais

Técnicas de reconfigurabilidade dos FPGAs da família APEX 20K - Altera

Técnicas de reconfigurabilidade dos FPGAs da família APEX 20K - Altera Técnicas de reconfigurabilidade dos FPGAs da família APEX 20K - Altera Marco Antônio Teixeira Orientador: Prof. Dr. Eduardo Marques Dissertação apresentada ao Instituto de Ciências Matemáticas e de Computação

Leia mais

MICROPROCESSADORES TIPOS DE MEMÓRIAS

MICROPROCESSADORES TIPOS DE MEMÓRIAS MICROPROCESSADORES TIPOS DE MEMÓRIAS Roteiro ROTEIRO Introdução; Tipos; RAM s; ROM s; Barramentos; Modo de Escrita; Modo de Leitura; INTRODUÇÃO Por que existem diversos tipos diferentes de memória? TIPOS

Leia mais

Modelo de Von Neumann: conceito do programa armazenado

Modelo de Von Neumann: conceito do programa armazenado Arquitetura de computador Modelo de Von Neumann: conceito do programa armazenado Arquitetura de Von Neuman A arquitetura de computador proposta por Von Neumann é composta basicamente por: Computadores

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais