Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Tamanho: px
Começar a partir da página:

Download "Sistemas Digitais. Módulo 15 Prof. Celso PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS"

Transcrição

1 1 PLD - DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Os projetos com circuitos digitais mais complexos podem se tornar inviáveis devido a vários problemas, tais como: - Elevado número de C.I. (circuitos integrados) - Muitas ligações (trilhas) entre os CIs - Atrasos entre as portas lógicas - Custo elevado do projeto - Alto consumo do sistema - Necessidade de grande layout físico Uma solução para esses problemas seria a utilização de um sistema utilizando microcomputador e/ou DSP (Processador Digital de Sinais). Mas esses sistemas têm uma seqüência programada de instruções especificadas pelo projetista e, em muitas aplicações, os mesmos não conseguem responder tão rapidamente quanto o esperado. Nesses casos, onde o tempo de resposta é essencial, os circuitos digitais convencionais têm de ser usados. Com a tecnologia avançando rapidamente, a maioria dos sistemas digitais convencionais não precisam ser implementados com as portas lógicas, podendo ao invés disto programar um circuito integrado dedicado para atender a determinada função. Dentre as novas técnicas surgiu a descrição de hardware. Nesta modalidade, o projetista descreve o hardware a ser implementado utilizando uma HDL (Hardware Description Language Linguagem de Descrição de Hardware) e implementa o circuito em uma PLD (Programmable Logic Device Dispositivos de Lógica Programável). Um HDL é parecida com uma linguagem de programação e o projetista tem que se preocupar apenas com a lógica do sistema. Após fazer a descrição do projeto, existem ferramentas de simulação para testes evitando assim perdas de tempo e de custo. Caso o sistema projetado passe nos testes de simulação, o projetista tem que escolher o dispositivo que melhor se adapte às características do projeto (número de portas, tempo de respostas...), para então gravá-lo. Esses dispositivos são chamados de PLD. PLD Esses dispositivos possuem internamente centenas (ou milhares) de portas lógicas, flip-flops e registradores interligados. As ligações entre esses elementos são programáveis através de um software de programação. Existem vários tipos de PLD: - PROM (Memória Somente de Leitura-Programável) - PLA (Arranjo Lógico Programável) - PAL (Lógica de Arranjo Programável) - CPLD (Dispositivos Lógicos Programáveis Complexos) - FPGA (Arranjo de Portas Programáveis em Campo) 1

2 2 Devido a sua estrutura interna, os PLDs podem ser divididos em duas categorias: PLD 600 portas Arranjos Lógicos Programáveis > 600 portas Arranjos De Portas Programáveis PROM PAL PLA FPGA CPLD Esses componentes oferecem ao projetista as seguintes vantagens: - Maior desempenho - Maior complexidade funcional - Melhor confiabilidade - Redução do tamanho físico da placa - Menor consumo de energia - Menor custo de produção em grandes volumes - Maior dificuldade de cópia por parte dos concorrentes ARRANJO LÓGICO PROGRAMÁVEL Os PLDs simples usam tecnologia CMOS e oferecem elementos de memória do tipo EPROM, EEPROM, e memória FLASH, e englobam os PALs, GALs, e outros componentes. Oferecem até 600 portas programáveis. PROM A memória PROM pode ser considerada um PLD no caso da mesma ser utilizada para implementar funções lógicas. Uma PROM, é uma memória apenas de leitura que pode ser gravada uma vez pelo projetista através da queima dos fusíveis internos. Internamente, a PROM tem uma estrutura AND-OR, com a matriz AND fixa e a matriz OR programável. A memória PROM implementa diretamente a tabela verdade, não aproveitando as vantagens das técnicas de minimização. É prejudicada pelo número de combinações de entrada e saída, pois nem todas as combinações são utilizadas. Como exemplo, se um circuito de 10 entradas e 8 saídas se destina a gerar 30 funções de saída, pode ser um desperdício usar uma PROM de 1k x 8, pois de todas as combinações possíveis das 1024 entradas, apenas 30 seriam utilizadas. A figura abaixo mostra uma PROM de 8 x 3: 2

3 3 Vamos supor que dada a memória acima fosse pedido para gravar a seguinte tabela verdade: I 2 I 1 I 0 O 2 O 1 O A PROM gravada ficaria como mostrado na próxima figura. Note que, neste caso, alguns endereços não foram utilizados. 3

4 4 PLA (Arranjo Lógico Programável) As PLAs permitem maior flexibilidade para a execução de funções lógicas pois possuem tanto a matriz de portas AND quanto a matriz de portas OR programáveis. Como possui as matrizes AND-OR programáveis habilita ao projetista implementar os termos minimizados. Sua desvantagem é ter o custo elevado. As PLAs possuem uma menor quantidade de portas AND, não formando assim um decodificador completo. Símbolo: Io I1 I2 O0 PLA O1 O2 4

5 5 - PAL (Lógica de Arranjo Programável) Um dispositivo PAL é basicamente uma matriz AND programáveis e uma matriz OR fixa. As PALs combinam baixo custo e fácil programação das PROMs com a flexibilidade das PLAs. Símbolo: Io I1 I2 O0 PAL O1 O2 5

6 6 O número de identificação desses dispositivos define também as suas operações lógicas; ele consiste no termo PAL seguido por: - número de entradas do dispositivo - o tipo de saída H ativo alto L ativo baixo C complementação: ativos em ambos níveis lógicos R registrado: o nível lógico é retido em um biestável e reintroduzido na matriz AND programável X OR-Exclusivo registrado A Aritmética registrada - número de saída - velocidade e/ou potência - gama de temperatura - tipo de encapsulamento. 6

7 Alguns tipos de PAL: 7 ARRANJO DE PORTAS PROGRAMÁVEIS (Programmable Gate Array) Ou HCPLDs (Dispositivos Lógicos Programáveis de Alta Capacidade) Os HCPLDs (dispositivos lógicos programáveis de alta capacidade), oferecem mais de 600 portas disponíveis e também utilizam tecnologia CMOS com memória EPROM, EEPROM, FLASH, SRAM, e opções anti-fusível. Por HCPLDs entendem-se os dispositivos FPGA e CPLD. Esses últimos dispositivos são programáveis e reprogramáveis, sendo esta última característica uma vantagem a mais para os projetistas de circuitos lógicos. Os fabricantes destes tipos de dispositivos garantem um fator de utilização de 80 a 90%, enquanto que com os PALs este fator fica em torno de 15%. Estrutura Um HCPLD é constituído por várias estruturas repetidas conhecidas como células. Em cada célula temos elementos que implementam lógica combinacional acompanhados de Lachtes (através de Memórias e Flip-Flops) destinados a aplicações de lógica sequencial. Interligando estas duas estruturas, temos multiplexadores programáveis que 7

8 8 realizam a interligação destes blocos entre si e destes com o exterior da célula (para outra célula ou para barramentos). Um barramento é uma estrutura que se destina a promover a interligação entre as células. São conexões físicas (trilhas metalizadas) disponíveis no interior do chip. Dependendo do tipo de PLD envolvido (FPGA ou CPLD), de sua complexidade e de seu fabricante, temos diferentes recursos e modos de conexão. Basicamente temos estruturas de conexão segmentadas (FPGA) e estruturas contínuas (CPLD). Para interface com o mundo exterior temos unidades conhecidas como blocos de entrada e saída. Sua função é conectar as células aos pinos do integrado que normalmente pode ser realizada diretamente (Célula Bloco I/O) ou indiretamente (Célula Barramento Bloco I/O). - CPLD (Complex PLD) ou EPLD (Erasable PLD) Podem ser vistos como dispositivos que possuem em sua estrutura vários PLDs (PAL ou PLA), interligados por conexões (barramento) programáveis. A figura abaixo ilustra um CPLD genérico: Tanto as células quanto as conexões são programáveis. Cada célula é composta por uma matriz AND-OR (podendo ser PAL ou PLA) com o objetivo de implementar as funções lógicas combinacionais. As saídas de cada célula ativam módulos de entrada/saída, que são compostos por flip-flops e realimentações com funções e interligações programáveis. 8

9 9 FPGA (Field Programmable Gate Array Arranjo de Portas Programáveis em Campo) Possuem uma arquitetura baseada em blocos lógicos. Os blocos lógicos consistem de um certo número de portas lógicas capazes de implementar uma grande variedade de funções lógicas dependendo de sua programação. Um exemplo de um bloco lógico (típico do fabricante Xilinx) é mostrado na figura abaixo: Os blocos lógicos do FPGA são idênticos e podem ser programados individualmente. A complexidade de um bloco lógico pode variar consideravelmente entre diferentes FPGAs. Diferença entre CPLDs e FPGAs A diferença fundamental entre um CPLD e um FPGA está na estrutura de constituição dos barramentos. Um CPLD é constituído por barramentos contínuos enquanto que um FPGA, por barramentos segmentados. Um barramento contínuo é constituído por linhas de metal de comprimento uniforme que atravessam o integrado no comprimento e na largura (vertical x horizontal). Assim, a resistência e a capacitância de todas interconexões são fixa, fazendo com que os intervalos de propagação (delay) entre quaisquer duas células lógicas do dispositivo seja constante. 9

10 10 Um barramento segmentado é constituído por vários segmentos de metal que também atravessam o integrado nas direções horizontal e vertical. Estes segmentos podem ser conectados de diversas maneiras através de chaves lógicas programáveis existentes entre eles. Assim, o número de segmentos requeridos para conexão entre duas células não é constante ou previsível, depende fortemente da disposição das células e das múltiplas possibilidades de interconexão entre elas. Como não sabemos, antes do roteamento (interconexão das células), o número de segmentos requeridos para conexão entre células, não podemos quantizar os atrasos de propagação. O atraso de uma dada estrutura lógica é função de um atraso devido ao barramento que varia de caso a caso mais o atraso da estrutura. PROGRAMAÇÃO DOS PLDs Comumente denominadas de HDL (Linguagem de Descrição de Hardware), essas linguagens são fornecidas pelo fabricante do dispositivo. Ao contrário das linguagens seqüenciais (C, Pascal, Delphi, Cbuilder...), as HDLs permitem aos projetistas modelarem os processos paralelos que se encontram nos dispositivos de Hardware. Entre as HDLs, as que se tornaram mais populares foram a Verilog HDL e a VHDL. A Verilog HDL foi criada em 1985 pela Gateway Design System Corporation. A VHDL foi desenvolvida em 1983 pelo departamento de defesa americano (DOD). A VHDL passou a ser um padrão aceito pelo IEEE em Bibliografia: Tocci & Widmer - cap. 12 Fregni cap. 09 Scaico, A. Univ. Federal da Paraíba Zaghetto, Prado e Tavares Univ..Federal R.J. 10

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que

Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que Dispositivos Lógicos Programáveis (PLD) Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis pelo usuário, que possui um grande número de portas lógicas

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis Dispositivos Lógicos Programáveis Circuitos Lógicos DCC-IM/UFRJ Prof. 2009 1 Família de Sistemas Digitais 2 Comparação: Velocidade e Consumo VLSI Personalizado ASIC Célula-Padrão ASIC Estruturado CPLD

Leia mais

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba.

Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável Prof.: Eduardo Simas eduardo.simas@ufba. Universidade Federal da Bahia Escola Politécnica Programa de Pós Graduação em Engenharia Elétrica Disciplina: Processamento Digital de Sinais (ENG577) Aula 05 Parte 2: Dispositivos de Hardware Programável

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Dispositivos Lógicos Programáveis (DLPs) Prof. Rodrigo de Paula Rodrigues DLP Conteto Grau de integração EIB - SSI EIM - MSI EIA

Leia mais

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s)

Memórias. O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Memórias O que são Memórias de Semicondutores? São componentes capazes de armazenar informações Binárias (0s e 1s) Essas informações são guardadas eletricamente em células individuais. Chamamos cada elemento

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui.

Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3 Tecnologia FPGA Ao longo do presente capítulo será apresentada uma descrição introdutória da tecnologia FPGA e dos módulos básicos que a constitui. 3.1. FPGA: Histórico, linguagens e blocos Muitos dos

Leia mais

Microelectrónica (ME)

Microelectrónica (ME) Microelectrónica (ME) LEEC (opção) Lic. Lic. Engª. AeroEspacial (Aviónica) Tipos de projecto de CIs Marcelino Santos (marcelino.santos@ist.utl.pt) 2004/05 Tipos de projecto de CIs ASIC - Application Specific

Leia mais

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto

Circuitos de Memória: Tipos e Funcionamento. Fabrício Noveletto Circuitos de Memória: Tipos e Funcionamento Fabrício Noveletto Memória de semicondutores São dispositivos capazes de armazenar informações digitais. A menor unidade de informação que pode ser armazenada

Leia mais

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo

IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Resumo IMPLEMENTAÇÃO DE CONTROLADOR LÓGICO BASEADO EM LÓGICA PROGRAMÁVEL ESTRUTURADA ( FPGA) Cesar da Costa Cost036@attglobal.net UNITAU Universidade de Taubaté Departamento de Engenharia Mecânica Pós-Graduação

Leia mais

- Arquitetura de sistemas digitais- Cap 1 - Introdução

- Arquitetura de sistemas digitais- Cap 1 - Introdução - Arquitetura de sistemas digitais- Cap 1 - Introdução Prof. Alan Petrônio - www.ufsj.edu.br/alan - 2012 Conteúdos/propósitos deste capítulo: 1.1 - Relembrando conceitos 1.2 - Arquitetura de computadores

Leia mais

FPGA Field Programmable Gate Array

FPGA Field Programmable Gate Array Arquitetura de Computadores FPGA Field Programmable Gate Array Alex Vidigal Bastos Sumário Dispositivos Reconfiguráveis Field Programmable Gate Arrays Funcionamento Desenvolvimento Ferramentas Dispositivos

Leia mais

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP Memórias.PLD.PLA.FPGA. Até ao momento... MEMÓRIA =FLIP- FLOP 1 MEMÓRIAS como armazenar mais do que um bit? Coelho, J.P. @ Sistemas Digitais : Y20 Memórias.PLD.PLA.FPGA. 2 como armazenar mais do que uma

Leia mais

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com

Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) Alex Vidigal Bastos alexvbh@gmail.com Conceitos de Linguagens de Descrição de Hardware (Verilog, VHDL) 1 Alex Vidigal Bastos alexvbh@gmail.com Objetivos da Aula Apresentar o conceito gerais de Linguagens de Descrição de Hardware (Verilog /

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1. Introdução: A tecnologia dos

Leia mais

Figura 01 Visão Geral da Placa

Figura 01 Visão Geral da Placa 1. Hardware O kit de FPGA é formado por periféricos que possibilitam sua interação com sinais de áudio, display gráfico e alfanumérico, comunicação serial e USB, codec de áudio, chaves para simulação e

Leia mais

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit

Manual de Instalação da Plataforma Scorpion. 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Manual de Instalação da Plataforma Scorpion 1. Como conectar a Plataforma Scorpion com a Xilinx Starter-3E kit Para começar a ler este manual, é bom que você conheça os componentes desta conexão. Plataforma

Leia mais

DISCIPLINA: Sistemas Digitais

DISCIPLINA: Sistemas Digitais DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Aula 24. Circuitos Lógicos Programáveis

Aula 24. Circuitos Lógicos Programáveis Aula 24 Circuitos Lógicos Programáveis 1) Introdução Impulsionado pelo desenvolvimento de novos tipos de sofisticados dispositivos programáveis por campo elétrico (FPDs), o processo de projeto digital

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

Introdução a Organização de Computadores Aula 4

Introdução a Organização de Computadores Aula 4 1 Subsistemas de Memória 4.1 Introdução A memória é o componente de um sistema de computação cuja função é armazenar as informações que são (ou serão) manipuladas por esse sistema, para que as informações

Leia mais

Computador E/S, Memória, Barramento do sistema e CPU Onde a CPU Registradores, ULA, Interconexão interna da CPU e Unidade de controle.

Computador E/S, Memória, Barramento do sistema e CPU Onde a CPU Registradores, ULA, Interconexão interna da CPU e Unidade de controle. Introdução Os principais elementos de um sistema de computação são a unidade central de processamento (central processing unit CPU), a memória principal, o subsistema de E/S (entrada e saída) e os mecanismos

Leia mais

AULA: Introdução à informática Computador Digital

AULA: Introdução à informática Computador Digital Campus Muriaé Professor: Luciano Gonçalves Moreira Disciplina: Informática Aplicada AULA: Introdução à informática Computador Digital Componentes de um computador digital : Hardware Refere-se às peças

Leia mais

1. CAPÍTULO COMPUTADORES

1. CAPÍTULO COMPUTADORES 1. CAPÍTULO COMPUTADORES 1.1. Computadores Denomina-se computador uma máquina capaz de executar variados tipos de tratamento automático de informações ou processamento de dados. Os primeiros eram capazes

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1

Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1 Dispositivos Lógicos Programáveis (PLD) A. Mariano - 2012 1 Agenda Introdução Visão Geral PLD Evolução Arquiteturas Chaves de Programação FPGA vs ASIC Fundamentos FPGA Fluxo de projeto A. Mariano - 2012

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Set/10 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Set/10 1 Definição São blocos que armazenam informações codificadas digitalmente números, letras, caracteres quaisquer, comandos de operações, endereços

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Sistemas VLSI Digitais e Dispositivos de Lógica Programável Prof. Marcos Zurita zurita@ufpi.edu.br

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Organização de Computadores 1. Prof. Luiz Gustavo A. Martins

Organização de Computadores 1. Prof. Luiz Gustavo A. Martins Organização de Computadores 1 1 - INTRODUÇÃO Prof. Luiz Gustavo A. Martins Arquitetura Define os elementos que impactuam diretamente na execução lógica do programa. Corresponde aos atributos visíveis veis

Leia mais

PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA

PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA PROGRAMAÇÃO EM VHDL DE CIRCUITOS LÓGICOS PARA IMPLEMENTAÇÃO EM FPGA RELATÓRIO FINAL DE PROJETO DE INICIAÇÃO CIENTÍFICA (PIBIC/CNPq/INPE) Yegor Gomes de Mello (UFRN, Bolsista PIBIC/CNPq) E-mail: yegor_melo@crn.inpe.br

Leia mais

REDES DE COMPUTADORES HISTÓRICO E CONCEITOS

REDES DE COMPUTADORES HISTÓRICO E CONCEITOS REDES DE COMPUTADORES HISTÓRICO E CONCEITOS BREVE HISTÓRICO A década de 60 Surgiram os primeiros terminais interativos, e os usuários podiam acessar o computador central através de linhas de comunicação.

Leia mais

Modem e rede local. Guia do usuário

Modem e rede local. Guia do usuário Modem e rede local Guia do usuário Copyright 2007 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas a alterações sem aviso. As únicas garantias para produtos

Leia mais

1. Introdução aos Microcontroladores

1. Introdução aos Microcontroladores 1. Introdução aos Microcontroladores Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP Conteúdo Introdução aos microcontroladores Arquiteturas Computacionais Revisão de eletrônica digital

Leia mais

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 1

ORGANIZAÇÃO DE COMPUTADORES MÓDULO 1 ORGANIZAÇÃO DE COMPUTADORES MÓDULO 1 Índice 1. Introdução...3 1.1. O que é um Computador?... 3 1.2. Máquinas Multiníveis... 3 2 1. INTRODUÇÃO 1.1 O QUE É UM COMPUTADOR? Para estudarmos como um computador

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr hlima@cbpf.br G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Eletrônica Digital para Instrumentação Objetivo

Leia mais

Gerenciamento de Entrada e Saída Hélio Crestana Guardia e Hermes Senger

Gerenciamento de Entrada e Saída Hélio Crestana Guardia e Hermes Senger Gerenciamento de Entrada e Saída Hélio Crestana Guardia e Hermes Senger O controle da entrada e saída (E/S ou I/O, input/output) de dados dos dispositivos é uma das funções principais de um sistema operacional.

Leia mais

TRANSMISSÃO DE DADOS

TRANSMISSÃO DE DADOS TRANSMISSÃO DE DADOS Aula 5: Comutação Notas de aula do livro: FOROUZAN, B. A., Comunicação de Dados e Redes de Computadores, MCGraw Hill, 4ª edição Prof. Ulisses Cotta Cavalca

Leia mais

Medição tridimensional

Medição tridimensional A U A UL LA Medição tridimensional Um problema O controle de qualidade dimensional é tão antigo quanto a própria indústria, mas somente nas últimas décadas vem ocupando a importante posição que lhe cabe.

Leia mais

Introdução. Uso do disco Vantagens Desvantagens Baixo custo, facilidade de manutenção do software e do hardware, simetria e flexibilidade

Introdução. Uso do disco Vantagens Desvantagens Baixo custo, facilidade de manutenção do software e do hardware, simetria e flexibilidade Introdução É sabido que os processos rodam em processadores. Nos sistemas tradicionais existe somente um único processador, de forma que não há dúvida a respeito de como ele deve ser usado. Em um sistema

Leia mais

Circuitos Digitais 144L

Circuitos Digitais 144L Circuitos Digitais Notas de Aula - 02 INSTITUTO: CURSO: DISCIPLINA: Instituto de Ciências Exatas e Tecnologia Ciência da Computação e Sistemas de Informação Circuitos Digitais 144L 1.0 Circuitos Combinacionais.

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA COLÉGIO TÉCNICO INDUSTRIAL DE SANTA MARIA Curso de Eletrotécnica

UNIVERSIDADE FEDERAL DE SANTA MARIA COLÉGIO TÉCNICO INDUSTRIAL DE SANTA MARIA Curso de Eletrotécnica UNIVERSIDADE FEDERAL DE SANTA MARIA COLÉGIO TÉCNICO INDUSTRIAL DE SANTA MARIA Curso de Eletrotécnica Apostila de Automação Industrial Elaborada pelo Professor M.Eng. Rodrigo Cardozo Fuentes Prof. Rodrigo

Leia mais

Tecnologia de faixa para falha

Tecnologia de faixa para falha Tecnologia de faixa para falha Por Tom Bell e John Nankivell Índice 1. Introdução 1 2. Equipamento de teste / processo de teste de PIM existente 2 3. Nova análise de RTF / limitações técnicas 3 4. Fluxograma

Leia mais

8 Threads. 8.1 Introdução

8 Threads. 8.1 Introdução 1 8 Threads 8.1 Introdução Uma thread, também chamada de tarefa, pode ser definida como uma parte ou rotina de um processo em execução que compartilha o mesmo espaço de endereçamento, mas tem seu próprio

Leia mais

MEDIDAS DE PROTEÇÃO COLETIVA SISTEMAS DE SEGURANÇA 4 BARREIRAS ÓTICAS

MEDIDAS DE PROTEÇÃO COLETIVA SISTEMAS DE SEGURANÇA 4 BARREIRAS ÓTICAS MEDIDAS DE PROTEÇÃO COLETIVA SISTEMAS DE SEGURANÇA 4 BARREIRAS ÓTICAS INTRODUÇÃO Este trabalho é uma compilação de informações sobre várias formas de proteções em máquinas e equipamentos. A Norma Regulamentadora

Leia mais

Sistemas Microcontrolados

Sistemas Microcontrolados Sistemas Microcontrolados Uma Abordagem com o Microcontrolador PIC 16F84 Nardênio Almeida Martins Novatec Editora Capítulo 1 Introdução Os microcontroladores estão presentes em quase tudo o que envolve

Leia mais

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO

GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO GUIA DE LABORATÓRIO DE SISTEMAS DIGITAIS PARA O CURSO DE ENGENHARIA DE CONTROLE E AUTOMAÇÃO Agosto/2004 V2 INTRODUÇÃO Este guia foi preparado para auxilio às aulas de laboratório para o curso de Engenharia

Leia mais

Computador Digital Circuitos de um computador (Hardware)

Computador Digital Circuitos de um computador (Hardware) Computador Digital SIS17 - Arquitetura de Computadores (Parte I) Máquina que pode resolver problemas executando uma série de instruções que lhe são fornecidas. Executa Programas conjunto de instruções

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Comunicação Fim-a-Fim a Alta Vede em Redes Gigabit

Comunicação Fim-a-Fim a Alta Vede em Redes Gigabit Outros trabalhos em: www.projetoderedes.com.br Comunicação Fim-a-Fim a Alta Vede em Redes Gigabit DaniloM.Taveira, Igor M. Moraes, Daniel de O.Cunha RafaelP.Laufer, Marco D. D. Bicudo, Miguel E. M. Campista,

Leia mais

Figura 1 - Memória 1. OBJETIVO. Conhecer os principais tipos de memórias entendendo suas funcionalidades e características.

Figura 1 - Memória 1. OBJETIVO. Conhecer os principais tipos de memórias entendendo suas funcionalidades e características. MEMÓRIA Memória Principal BIOS Cache BIOS ROOM Cache Externo HD DVD DRIVE DE DISQUETE DE 3 1/2 1/2 DISQUETE DE 3 DISQUETE DE 5 1/4 Figura 1 - Memória MP 1. OBJETIVO Conhecer os principais tipos de memórias

Leia mais

Conceitos e Evolução Capítulos 1 e 2

Conceitos e Evolução Capítulos 1 e 2 Aula 2 ARQUITETURA DE COMPUTADORES Conceitos e Evolução Capítulos 1 e 2 Prof. Osvaldo Mesquita E-mail: oswaldo.mesquita@gmail.com 1/48 CONTEÚDO DA AULA Conceitos Importantes O que é arquitetura de computadores?

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

HIERARQUIA DE MEMÓRIAS

HIERARQUIA DE MEMÓRIAS MEMÓRIA SECUNDARIA HIERARQUIA DE MEMÓRIAS Memórias Memória Secundaria Memória Terciária Sigla NOME TECNOLOGIA ROM Read Only Memory (memória somente de leitura) Gravada na fábrica uma única vez PROM EPROM

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores UNIVERSIDADE FEDERAL RURAL DO DO SEMI-ÁRIDO DEPARTAMENTO DEPARTAMENTO DE DE CIÊNCIAS CIÊNCIAS EXATAS EXATAS E E NATURAIS NATURAIS CURSO DE CIÊNCIA DA COMPUTAÇÃO CURSO DE CIÊNCIA DA COMPUTAÇÃO Arquitetura

Leia mais

Abstrações e Tecnologias Computacionais. Professor: André Luis Meneses Silva E-mail/msn: andreluis.ms@gmail.com Página: orgearq20101.wordpress.

Abstrações e Tecnologias Computacionais. Professor: André Luis Meneses Silva E-mail/msn: andreluis.ms@gmail.com Página: orgearq20101.wordpress. Abstrações e Tecnologias Computacionais Professor: André Luis Meneses Silva E-mail/msn: andreluis.ms@gmail.com Página: orgearq20101.wordpress.com Agenda Introdução Sistemas Computacionais Arquitetura X

Leia mais

Dispositivos externos

Dispositivos externos Dispositivos externos Guia do Usuário Copyright 2006 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas a alterações sem aviso. As únicas garantias para produtos

Leia mais

REDE DE COMPUTADORES TECNOLOGIA ETHERNET

REDE DE COMPUTADORES TECNOLOGIA ETHERNET SERVIÇO NACIONAL DE APRENDIZAGEM COMERCIAL REDE DE COMPUTADORES TECNOLOGIA ETHERNET Prof. Airton Ribeiro de Sousa E-mail: airton.ribeiros@gmail.com ARQUITETURA ISDN (Integrated Services Digital Network)

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 08. Estilos de Projeto. Prof. Sandro Vilela da Silva. sandro@cefetrs.tche.

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 08. Estilos de Projeto. Prof. Sandro Vilela da Silva. sandro@cefetrs.tche. Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 08 Estilos de Projeto Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram realizados

Leia mais

Anatomia de uma Placa Mãe

Anatomia de uma Placa Mãe Anatomia de uma Placa Mãe Autor: Rafael Afonso de Souza Anatomia de uma placa-mãe - Parte 1 É muito importante que saibamos identificar os componentes de uma placa-mãe. Na figura abaixo vamos destacar

Leia mais

CAPÍTULO 4 Interface USB

CAPÍTULO 4 Interface USB Interfaces e Periféricos 29 CAPÍTULO 4 Interface USB Introdução Todo computador comprado atualmente possui uma ou mais portas (conectores) USB. Estas portas USB permitem que se conecte desde mouses até

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim Dispositivos Lógicos Programáveis Autores Caio Augusto

Leia mais

Arquitetura de processadores: RISC e CISC

Arquitetura de processadores: RISC e CISC Arquitetura de processadores: RISC e CISC A arquitetura de processador descreve o processador que foi usado em um computador. Grande parte dos computadores vêm com identificação e literatura descrevendo

Leia mais

Multiplexação de Displays

Multiplexação de Displays Multiplexação de Displays D.T. e J.R.B./200 (revisão) E.T.M./2003 (revisão da parte experimental) E.T.M./200 (revisão) E.T.M./2006 (revisão) RESUMO Nesta experiência são apresentados alguns conceitos sobre

Leia mais

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH

DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA DECODIFICADOR DE DISPLAY DE 7 SEGMENTOS COM LATCH Projeto para a matéria TE130 Projeto de Circuitos Integrados Digitais, ministrada pelo

Leia mais

ETEC Prof. Massuyuki Kawano Centro Paula Souza Prof. Anderson Tukiyama Berengue Instalação e Manutenção de Computadores Módulo: I Tupã SP Encapsulamento das Memórias Encapsulamento é o nome que se dá ao

Leia mais

Aula 19. Conversão AD e DA Técnicas

Aula 19. Conversão AD e DA Técnicas Aula 19 Conversão AD e DA Técnicas Introdução As características mais importantes dos conversores AD e DA são o tempo de conversão, a taxa de conversão, que indicam quantas vezes o sinal analógico ou digital

Leia mais

UNIVERSIDADE PRESBITERIANA MACKENZIE Decanato Acadêmico

UNIVERSIDADE PRESBITERIANA MACKENZIE Decanato Acadêmico Unidade Universitária: Escola de Engenharia Curso: Engenharia Elétrica e Eletrônica Disciplina: Dispositivos Lógicos Programáveis Núcleo Temático: Sistemas Digitais Código da Disciplina: 161.1654.2 Professor:

Leia mais

Alguma das vantagens e desvantagens dos computadores ópticos é apresenta a seguir.

Alguma das vantagens e desvantagens dos computadores ópticos é apresenta a seguir. Computação Óptica Introdução Um dos grandes obstáculos para aprimorar o desempenho dos computadores modernos está relacionado com a tecnologia convencional dos semicondutores, que está alcançando o seu

Leia mais

DESENVOLVIMENTO DE UM ROBÔ MANIPULADOR INDUSTRIAL

DESENVOLVIMENTO DE UM ROBÔ MANIPULADOR INDUSTRIAL 1 DESENVOLVIMENTO DE UM ROBÔ MANIPULADOR INDUSTRIAL Carlos Henrique Gonçalves Campbell Camila Lobo Coutinho Jediael Pinto Júnior Associação Educacional Dom Bosco 1. Objetivo do Trabalho Desenvolvimento

Leia mais

Memória RAM. A memória RAM evolui constantemente. Qual a diferença entre elas? No clock (velocidade de comunicação com o processador)

Memória RAM. A memória RAM evolui constantemente. Qual a diferença entre elas? No clock (velocidade de comunicação com o processador) Memória RAM Introdução As memórias são as responsáveis pelo armazenamento de dados e instruções em forma de sinais digitais em computadores. Para que o processador possa executar suas tarefas, ele busca

Leia mais

Cotagem de dimensões básicas

Cotagem de dimensões básicas Cotagem de dimensões básicas Introdução Observe as vistas ortográficas a seguir. Com toda certeza, você já sabe interpretar as formas da peça representada neste desenho. E, você já deve ser capaz de imaginar

Leia mais

Modem e rede local. Guia do Usuário

Modem e rede local. Guia do Usuário Modem e rede local Guia do Usuário Copyright 2007 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas à alterações sem aviso. As únicas garantias para produtos

Leia mais

Marcos da Arquitetura de Computadores (1)

Marcos da Arquitetura de Computadores (1) Marcos da Arquitetura de Computadores (1) Marcos da Arquitetura de Computadores (2) Gerações de computadores Geração Zero Computadores Mecânicos (1642 1945) Primeira Geração Válvulas (1945 1955) Segunda

Leia mais

Aula 6: Introdução ao System Generator

Aula 6: Introdução ao System Generator www.decom.fee.unicamp.br/~cardoso Aula 6: Introdução ao System Generator Fabbryccio Cardoso Marcelo Fernandes Dalton S. Arantes DECOM-FEEC-UNICAMP Casos de Uso Desenvolvimento e análise de algoritmos visando

Leia mais

UNIVERSIDADE FEDERAL RURAL DE PERNAMBUCO DEPARTAMENTO DE ESTATÍSTICA E INFORMÁTICA BACHARELADO EM SISTEMAS DE INFORMAÇÃO RAPID APPLICATION DEVELOPMENT

UNIVERSIDADE FEDERAL RURAL DE PERNAMBUCO DEPARTAMENTO DE ESTATÍSTICA E INFORMÁTICA BACHARELADO EM SISTEMAS DE INFORMAÇÃO RAPID APPLICATION DEVELOPMENT UNIVERSIDADE FEDERAL RURAL DE PERNAMBUCO DEPARTAMENTO DE ESTATÍSTICA E INFORMÁTICA BACHARELADO EM SISTEMAS DE INFORMAÇÃO RAPID APPLICATION DEVELOPMENT Disciplina: Modelagem a Programação Orientada a Objetos

Leia mais

Introdução. Hardware (Parte II) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. joseana@computacao.ufcg.edu.

Introdução. Hardware (Parte II) Universidade Federal de Campina Grande Departamento de Sistemas e Computação. joseana@computacao.ufcg.edu. Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Hardware (Parte II) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br Carga

Leia mais

BACHARELADO EM SISTEMAS DE INFORMAÇÃO EaD UAB/UFSCar Sistemas de Informação - prof. Dr. Hélio Crestana Guardia

BACHARELADO EM SISTEMAS DE INFORMAÇÃO EaD UAB/UFSCar Sistemas de Informação - prof. Dr. Hélio Crestana Guardia O Sistema Operacional que você usa é multitasking? Por multitasking, entende-se a capacidade do SO de ter mais de um processos em execução ao mesmo tempo. É claro que, num dado instante, o número de processos

Leia mais

1 Problemas de transmissão

1 Problemas de transmissão 1 Problemas de transmissão O sinal recebido pelo receptor pode diferir do sinal transmitido. No caso analógico há degradação da qualidade do sinal. No caso digital ocorrem erros de bit. Essas diferenças

Leia mais

Estrutura de um Rede de Comunicações. Redes de comunicação. de Dados. Network) Area. PAN (Personal( Redes de. de dados

Estrutura de um Rede de Comunicações. Redes de comunicação. de Dados. Network) Area. PAN (Personal( Redes de. de dados Fundamentos de Estrutura de um Rede de Comunicações Profa.. Cristina Moreira Nunes Tarefas realizadas pelo sistema de comunicação Utilização do sistema de transmissão Geração de sinal Sincronização Formatação

Leia mais

Introdução. Software (Parte I)

Introdução. Software (Parte I) Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Introdução à Computação Software (Parte I) Prof. a Joseana Macêdo Fechine Régis

Leia mais

Profa. Dra. Amanda Liz Pacífico Manfrim Perticarrari. amanda@fcav.unesp.br

Profa. Dra. Amanda Liz Pacífico Manfrim Perticarrari. amanda@fcav.unesp.br Profa. Dra. Amanda Liz Pacífico Manfrim Perticarrari amanda@fcav.unesp.br Documentário http://www.youtube.com/watch?v=sx1z_mgwds8 Introdução Computadores de primeira geração (1946 1959): A primeira geração

Leia mais

INTRODUÇÃO À ROBÓTICA

INTRODUÇÃO À ROBÓTICA Material de estudo 2010 INTRODUÇÃO À ROBÓTICA André Luiz Carvalho Ottoni Introdução à Robótica Capítulo 1 - Introdução Robótica é um ramo da tecnologia que engloba mecânica, eletrônica e computação, que

Leia mais

Tecnicas com Sistemas Digitais

Tecnicas com Sistemas Digitais Tecnicas com Sistemas Digitais Prof. Engº Luiz Antonio Vargas Pinto 1 Prof. Eng Luiz Antonio Vargas Pinto 2 Prof. Eng Luiz Antonio Vargas Pinto Índice Índice...2 Introdução...3 Ruído (Bounce)...3 Transistor

Leia mais

Controle de um sistema de ventilação em um quadro de comando e controle

Controle de um sistema de ventilação em um quadro de comando e controle UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE DEE DEPARTAMENTO DE ENGENHARIA ELÉTRICA ENGENHARIA ELÉTRICA INSTRUMENTAÇÂO ELETRÔNICA Controle de um sistema de ventilação em um quadro de comando e controle

Leia mais

EXCEDENTE REATIVO (EFEITOS NAS REDES E INSTALAÇÕES)

EXCEDENTE REATIVO (EFEITOS NAS REDES E INSTALAÇÕES) EXCEDENTE REATIVO (EFEITOS NAS REDES E INSTALAÇÕES) Baixos valores de fator de potência são decorrentes de quantidades elevadas de energia reativa. Essa condição resulta em aumento na corrente total que

Leia mais

CABEAMENTO ESTRUTURADO INTRODUÇÃO E HISTÓRICO. Professor Carlos Muniz

CABEAMENTO ESTRUTURADO INTRODUÇÃO E HISTÓRICO. Professor Carlos Muniz CABEAMENTO ESTRUTURADO INTRODUÇÃO O principal objetivo de um ambiente de rede é o compartilhamento de informações e recursos por várias máquinas com qualidade, eficiência, velocidade e segurança. Para

Leia mais

AVALIAÇÃO DE CIRCUITOS SOMADORES DE 32 BITS EM VHDL UTILIZANDO DISPOSITIVOS DE LÓGICA PROGRAMÁVEL

AVALIAÇÃO DE CIRCUITOS SOMADORES DE 32 BITS EM VHDL UTILIZANDO DISPOSITIVOS DE LÓGICA PROGRAMÁVEL AVALIAÇÃO DE CIRCUITOS SOMADORES DE 32 BITS EM VHDL UTILIZANDO DISPOSITIVOS DE LÓGICA PROGRAMÁVEL Thiago Moutran Araki, André Reis, Renato P. Ribas Instituto de Informática UFRGS Av. Bento Gonsalves, 9500

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Introdução ao Desenvolvimento de Circuitos Digitais Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h A grande ideia! O processo de concepção de um produto (chip) é muito demorado. Tempo; Esforço;

Leia mais

Introdução a Ciência da Computação Conceitos Básicos de Sistema PROFESSORA CINTIA CAETANO

Introdução a Ciência da Computação Conceitos Básicos de Sistema PROFESSORA CINTIA CAETANO Introdução a Ciência da Computação Conceitos Básicos de Sistema PROFESSORA CINTIA CAETANO Introdução A informática é uma área que atualmente vem evoluindo muito rapidamente. A cada dia se torna mais importante

Leia mais

Memória Cache. Prof. Leonardo Barreto Campos 1

Memória Cache. Prof. Leonardo Barreto Campos 1 Memória Cache Prof. Leonardo Barreto Campos 1 Sumário Introdução; Projeto de Memórias Cache; Tamanho; Função de Mapeamento; Política de Escrita; Tamanho da Linha; Número de Memórias Cache; Bibliografia.

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Albaenergy Solutions, Lda. Ar Condicionado

Albaenergy Solutions, Lda. Ar Condicionado Ar Condicionado Recorrendo à movimentação do ar estes equipamentos permitem, num curto espaço de tempo, climatizar um determinado espaço. Com estes sistemas podemos aquecer ou arrefecer o ambiente por

Leia mais

CONTROLADOR LÓGICO PROGRAMAVEL

CONTROLADOR LÓGICO PROGRAMAVEL CONTROLADOR LÓGICO PROGRAMAVEL Controlador Lógico Programável ( Hardware ) Para aprendermos como funciona um CLP, é necessário uma análise de seus componentes básicos, utilizados por todos os CLPs disponíveis

Leia mais

PROCESSADOR. Montagem e Manutenção de Microcomputadores (MMM).

PROCESSADOR. Montagem e Manutenção de Microcomputadores (MMM). PROCESSADOR Montagem e Manutenção de Microcomputadores (MMM). INTRODUÇÃO O processador é o C.I. mais importante do computador. Ele é considerado o cérebro do computador, também conhecido como uma UCP -

Leia mais