Segundo Trabalho de Sistemas Digitais

Tamanho: px
Começar a partir da página:

Download "Segundo Trabalho de Sistemas Digitais"

Transcrição

1 Segundo Trabalho de Sistemas Digitais Alunos: Márcio Teixeira, Louise Landi e Tharsus Proux. Professor: Mário Vaz Filho.

2 1 Introdução Tutorial de um Divisor de Frequências em VHDL O objetivo deste trabalho é o projeto de um divisor de frequências em linguagem VHDL comportamental capaz de dividir frequências acima de 50 MHz, com simulação do projeto no software ISE e implementação em uma FPGA Spartan3AN Starter Kit. 2 Planejamento Um divisor de frequências funciona da seguinte maneira: sua entrada é um pulso e sua saída é também um pulso mas com frequência menor do que o pulso de entrada. Entretanto, para um uso mais genérico, sua implementação necessita de um gerenciador de clock para poder gerar frequências acima de 50 MHz. O projeto em VHDL será composto por 2 módulos. Um módulo será chamado de clk_div e ele é o nosso divisor de frequências de fato. O outro módulo é chamado de base_tempo e é o módulo de implementação do clk_div. No base_tempo será incluído o dcm1, um gerenciador de clock e a saída do dcm1 será um sinal interno que irá à entrada do clk_div. As entradas e saídas de base_tempo serão dadas, respectivamente, por dmc1 e clk_div. Na Figura 1 foi ilustrado a lógica de funcionamento do projeto. Figura 1 A entrada de base_tempo será um pulso de clock denominado de clk. As saídas de base_tempo serão ligadas a um LED e a um osciloscopio. A entrada e a saída de dcm1 foram denominadas de CLKIN_IN e CLKFX_OUT respectivamente. A entrada e a saída de clk_div foram denomidas de clk_in e div_out respectivamente. 3 Criação dos Códigos Fonte em VHDL Para criar os códigos fontes foi utilizado o Software ISE da empresa Xilinx. Após iniciado o software, é necessário criar um novo projeto. A Figura 2 corresponde a primeira janela que abre. Ao se criar um novo projeto no ISE é necessário escolher uma pasta que será a workspace. Nela, todos os arquivos necessários para o funcionamento da simulação e implementação do projeto serão salvos. Foi escolhida a pasta tpm que é a pasta para arquivos temporários utilizada no laboratório e com isso, o limite de dados que podem ser armazenados não será estourado em nenhuma conta dos alunos que participaram desde trabalho. Após a escolha da workspace, é necessário dar um nome ao projeto que será base_tempo, o mesmo nome do módulo principal. Além disso, é escolhido o tipo do módulo de maior hierarquia (base_tempo) que é do tipo HDL. Na janela seguinte, escolhe-se a plataforma de desenvolvimento (Evaluation Development Board) que é a Spartan3AN Starter Kit.

3 Figura 2 Após criado o novo projeto, iremos criar os códigos contes. Para isso iremos na aba Project > New Source. Na nova janela é escolhido VHDL Module e o nome do módulo é clk_div. Na janela seguinte, é possível criar as portas do módulo só que essa criação será feita mais adiante. Após criado o módulo clk_div, serão criadas as suas entradas e saídas, além da sua lógica de funcionamento. Observações sobre a estrutura de um código VHDL encontram-se no Apêndice 1. A Figura 3 mostra o início do código que é uma documentação do projeto. É importante a realização de uma documentação adequada com informações a respeito do projeto e com comentários ao longo do código para facilitar uma leitura futura de fácil entendimento. Além disso, é mostrado o início do código de fato. A primeira parte é a respeito de quais bibliotecas VHDL serão usadas e a segunda parte é a criação da entity clk_div. Na entity serão declaradas as constantes, entradas e saídas do módulo clk_div. Figura 3

4 A Figura 4 mostra a terceira parte do código que será a lógica de funcionamento do divisor de frequências. Quatro sinais internos são usados como parte da lógica. Dois serão contadores e serão os responsáveis por informar o momento de mudança dos valores de cada saída, determinando assim a frequência de saída de acordo com o valor máximo assumido. Os outros dois serão sinais que sofrerão alteração ao longo do funcionamento do divisor e seus valores serão direcionados às saídas de clk_div, pois nenhuma saída pode ser diretamente manipulada em VHDL. A lógica da saída LED é dada pelo primeiro circuito e funciona da seguinte maneira: a cada subida de clk_in (ou seja, a cada subida do clock de entrada) o contador será comparado com um número, se o contador for menor que esse número, então o sinal interno de saída manterá o seu valor lógico e o contador será incrementado uma unidade. Se o contador for maior ou igual ao mesmo número, então o sinal interno de saída receberá seu valor negado e o contador será redefinido como sendo igual a um. Ou seja, a ideia é ir incrementando o contador de forma a determinar quantas vezes o clock subiu e a partir daí escolher em quantas vezes se quer dividir sua frequência ao comparar o valor do contador com um número. Nesse caso o tempo que LED permenece aceso é igual ao tempo que ele permenace apagado, pois o sinal interno de saída muda sempre para o seu valor lógico negado. A lógica da saída OSCILOSCOPIO é dada pelo segundo circuito e funciona da mesmo maneira que o primeiro circuito, com a exceção da comparação do contador ser feita na descida do clock. Além disso, o sinal interno de saída tem o tempo em nível lógico alto diferente do tempo em nível lógico baixo, entretanto a frequência de saída não será afetada. Figura 4 Na FPGA que será implementado o projeto, o clock padrão dela é de 50MHz, ou seja, o período é de 20ns. No circuito do LED foi escolhido o valor máximo do contador como sendo 25 milhões, pois assim a frequência do LED será de 1 Hz. No circuito do OSCILOSCOPIO o valor máximo do contador será determinado mais adiante. Finalizado o módulo clk_div, o módulo base_tempo será criado e será de uma hierarquia superior ao clk_div e nele iremos colocar o módulo dcm1. O processo de criação é o mesmo do clk_div, mudando apenas o nome do módulo. A Figura 5 mostra o início do código da mesma forma que a Figura 4. A primeira parte são os comentários seguida da declaração das bicliotecas utilizadas e da entity base_tempo e suas constantes, entradas e saídas.

5 Figura 5 Cada attribute é um sinal que será implementado na FPGA, seja ele um sinal de saída ou entrada do módulo. LOC é uma palavra e receberá os valores entre aspas em rosa que determinará quais os pinos da FPGA que serão utilizados. FAST é uma palavra usada para fazer o sinal que vai para o osciloscopio sair por um driver de alta corrente para operar em alta velocidade pelo pino Y18. Na Figura 6, é mostrada a lógica de base_tempo. Dentro de architecture foram declarados os component clk_div e dcm1. Ainda não foi criado o módulo dcm1 com core generator, para isso será seguido o tutorial do ISE. Por hora será terminado o módulo base_tempo para depois criar o dcm1. Um sinal interno, clk_int, será usado para conectar o clock de saída do dcm1 com o clock de entrada do clk_div. A configuração da lógica é bem simples, serão conectados os pinos de cada módulo corretamente. Figura 6

6 Cada component foi instanciado e teve seus pinos conectados de acordo com o planejamento feito. As entradas dos dcm1 são as entradas do base_tempo e a saída do dcm1 é a entrada do clk_div. As saídas do clk_div são as saídas do base_tempo. A saída locked é apenas um indicativo da sincronia do dcm1. Há um atraso no funcionamento do base_tempo, pois o dcm1 leva um tempo até sincronizar sua saída com a entrada e sua saída é apenas liberada quando a sincronia está completa. A saída locked quando vai a nível lógico 1 indica que o sincronismo está completo e o base_tempo começará a dividir a frequência de entrada. A entrada reset será mantida fixa em nível lógico 1, pois o foco desse trabalho é apenas o funcionamento da lógica do circuito divisor de frequência. O valor máximo para o contador do circuito do OSCILOSCOPIO foi escolhido como quatro nesse trabalho. Para criar o módulo dcm1 será seguido o tutorial do ISE: 1. Em Project Navigator, selecione Project > New Source. 2. Na nova janela, selecione IP (CoreGen & Architecture Wizard) source e digite dcm1 para o nome do módulo. 3. Clique em Next. 4. Na janela Select IP, selecione FPGA Features and Design > Clocking > Spartan-3E, Spartan-3A > Single DCM_SP. 5. Clique em Next, e clique em Finish. O Clocking Wizard está preparado. 6. Na janela Architecture Wizard Setup, selectione OK. 7. Na janela General Setup, verifique que as portas RST, CLK0 e LOCKED estão selecionadas. 8. Selecione a porta CLKFX. 9. Digite 50 e selecione MHz para a Input Clock Frequency. 10. Verifique as seguintes configurações: Phase Shift: NONE CLKIN Source: External, Single Feedback Source: Internal Feedback Value: 1X Use Duty Cycle Correction: Selecionado 11. Clique no botão Advanced. 12. Selecione Wait for DCM lock before DONE Signal goes high. 13. Clique em OK. 14. Clique em Next, e depois novamente em Next. 15. Selecione Use output frequency e digite 50 no local adequado e selecione MHz. 16. Clique em Next, e depois clique em Finish. O módulo dcm1 foi criado e seu component já foi declarado e instanciado no código do base_tempo. O tutorial ensina como conseguir as declarações mas nesse trabalho elas já foram fornecidas. Todos os códigos já foram criados e seus módulos conectados mas antes de implementar o projeto na FPGA é necesário simular o projeto para a verificação de possíveis erros na lógica. 4 Simulação A simulação do projeto é feita no ISim que é a ferramenta utilizada pelo ISE para simulações. Instruções de como simular um projeto no ISE encontram-se no Apêndice 2. Para realizar a simulação será forçado um clock em clk 50 MHz de frequência que começa em nível lógico zero. No reset será forçado uma constante de valor 0. O tempo total de duração da simulação será de 4 us. A Figura 7 mostra o resultado obtido. Nessa simulação, foi feita uma divisão de frequência por 25 na saída do LED e uma divisão por 5 na saída do OSCILOSCOPIO.

7 Figura 7 É possível perceber que o período do LED está com o valor de 1000 ns, ou seja, para se conseguir uma período de 1 segundo (frequência de 1 Hz) é só multiplicar o número máximo do contador do primeiro circuito do clk_div por (e assim será igual a ). Essa simulação mostra a eficiência dos circuitos do clk_div e nos dá garantia de funcionamento da lógica desenvolvida. Após simulado o projeto e com um resultado positivo, será feita a implementação do projeto na FPGA. 5 Implementação na FPGA A implementação do projeto será feita usando a ferramenta Impact do ISE. Após feita a síntese e gerado o bitstream, o Impact será aberto com um duplo clique em cima de Configure Target Device. Após aberta a janela do Impact as seguintes instruções foram realizadas: 1. Duplo clique em Boundary Scan 2. Clique com o botão direito na área branca aberta e selecione Initialize Chain 3. Abra/selecione o arquivo base_tempo.bit 4. Na próxima janela clique em Byspass 5. Clique com o botão direito em cima do chip que ficou verde e selecione Configure FPG only A configuração da FPGA está completa e o projeto funciona de acordo com o planejado. O LED pisca numa frequência de 1 Hz (meio segundo aceso e meio segundo apagado) e a saída para teste com um OSCILOSCOPIO está funcionando. 6 Conclusões A utilização do VHDL comportamental para a implementação de um circuito divisor de frequências foi de fácil implementação e gerou o resultado esperado. Seu entendimento e sua lógica de utilização são simples e demandam pouco tempo para conseguir entender seu mecanismo de funcionamento.

8 Apêndice 1 Um codigo em VHDL pode ser estruturado de várias maneiras e há inúmeras funções disponíveis. Nesse trabalho o conhecimento necessário de VHDL é básico e será feito um panorama geral e simplificado. As primeiras declarações do código VHDL são library e use. Elas permitem a utilização de um package. Um package de VHDL contém subprogramas, definições de constantes, e/ou definições de tipo para ser usado através de um ou mais sistemas. Cada package contém uma seção de declaração, na qual o subprograma disponível (i.e. exportável), constantes e tipos são declarados, e um corpo do package, no qual as implementações do subprograma são definidas, juntas com qualquer constante ou tipos usados internamente. A seção de declaração representa a porção do package que é visível ao usuário do package. A implementações de fato das subrotinas no package não são tipicamente do interesse do usuário. A segunda declaração é a entity. Essa é a parte principal do projeto, é a interface do Sistema que descreve as entradas e saídas. Composta de duas partes: parameters e connections. Parameters refere-se aos parâmetros, exemplo largura de barramento, são declarados como generics. Connections por sua vez, refere-se como ocorre a transferência de informações, são declarados como ports. O nome de uma entity deve identificar o sistema, podendo usar letras e números, porém deve iniciar por uma letra. A terceira declaração é a architecture. A quarta declaração é process. Um process sequencial e independente representa o comportamento de uma parte do sistema. O corpo do process é uma lista sequencial de declarações. A sequência de declarações no process é executada em ordem, começando pela primeira. Depois da última declaração do process ser executada, o process é repetido desde a primeira declaração e continua a se repetir até ser suspenso. Se a lista de sensibilidade opcional é dada, uma wait on declaração é inserida depois da última declaração sequencial, causando a suspenção do processo naquele ponto até que ocorra um evento em algum dos sinais na lista, nessa hora o process é reexecutado com a primeira declação no process. Uma função da library usada é a if/else. E como o próprio nome sugere é utilizada para realizar comparações. Um módulo VHDL é um arquivo com contém o código VHDL como um todo. Com prática a organização de um código VHDL se mostrará de fácil estruturação, pois seu mecanismo de funcionamento é simples, porém muito poderoso e abrangente. Apêndice 2 Para se realizar uma simulação no ISE é utilizada a ferramenta do ISE chamada ISim. Para isso é necessário mudar o modo view (que está localizado na barra de ferramentas logo acima do esquemático de hierarquia) de implementation para simulation. Depois dê um clique em cima de do processo de maior hierarquia (base_tempo) e em process dê um duplo clique em Behavioral Check Syntax. Se não ocorrem erros e a sintaxe estiver correta, dê um duplo clique em Simulate Behavioral Model e o ISim será aberto em uma nova janela. Na Figura 8 estão localizados os botões citados.

9 Figura 8 Toda vez que uma nova simulação for ser executada, é necessário reiniciar o status do simulador. Isso é feito clicando no botão restart (seta mais a esquerda das três). O tempo de execução da simulação é determinado numa caixa do lado direito do botão restart. O botão com uma seta e uma ampulheta inicia a simulação e essa terá a duração do tempo determinado pelo usuário. Para forçar um clock ou um valor constante em uma entrada clique com o botão direito em cima da entrada desejada (i.e. reset ou clk) e selecione o opção de interesse. Uma janela de configuração abrirá e ao término da configuração clique em OK. A Figura 9 mostra a tela inicial do ISim e nela estão localizados os itens citados anteriormente. Figura 9

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Tutorial - Xilinx ISE

Tutorial - Xilinx ISE Tutorial - Xilinx ISE Universidade Federal do Rio de Janeiro Escola Politécnica Departamento de Eletrônica e Computação Autores: Artur Lemos Ioav Lichtenstein Thiago Lobo Orientador: Mário Vaz Índice:

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

Produção de tutoriais. Suellem Oliveira

Produção de tutoriais. Suellem Oliveira Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Produção de tutoriais Suellem Oliveira Jclic Identificar elementos no Texto Para a produção

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE CIÊNCIA E TECNOLOGIA ENGENHARIA ELÉTRICA RELATÓRIO CONTADOR DE 6 BITS PROGRAMÁVEL Trabalho apresentado à disciplina de Projeto de Circuitos Integrados Digitais,

Leia mais

Criação de componentes no Proteus

Criação de componentes no Proteus Criação de componentes no Proteus O programa Isis permite criar componentes diretamente na tela do editor de esquemas. Neste exemplo, trataremos de criar o DS1023 da Maxim. Esta é a configuração dos pinos

Leia mais

Programação em Linguagem C

Programação em Linguagem C Programação em Linguagem C UNIDADE 1 Unidade I Nesta unidade aprenderemos: escrever o código no computador e transferir para o Arduino. ligar e desligar um pino; utilizar o delay (tempo); ler um pino para

Leia mais

MSP430 Lab 02 Criar e Compilar um projeto

MSP430 Lab 02 Criar e Compilar um projeto MSP430 Lab 02 Criar e Compilar um projeto Software CCS Neste post iremos criar um novo projeto utilizando a IDE CCS da Texas Instruments, compilar o código feito em linguagem C e fazer o Debug da aplicação

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

ISE com VHDL comportamental

ISE com VHDL comportamental UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL comportamental Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695.

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Microcontroladores: Programação em C

Microcontroladores: Programação em C Aula 10 Microcontroladores: Programação em C Prof. Tecgº Flávio Murilo 11/04/2013 1 Conversor A/D Conceitos Conversores analógico digitais (conversores A/D ou ADC) convertem um sinal analógico em um equivalente

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Produção de tutoriais. Suellem Oliveira

Produção de tutoriais. Suellem Oliveira Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Produção de tutoriais Suellem Oliveira Jclic Completar Textos Para a produção deste Tutorial

Leia mais

Tutorial Multisim. Página inicial do Multisim

Tutorial Multisim. Página inicial do Multisim Tutorial Multisim O Multisim é um programa que realiza a simulação da montagem de circuitos eletrônicos, nele estão contidas todas as ferramentas necessárias para a montagem de tais circuitos como resistores,

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor.

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. TUTORIAL XILINX SOMADOR E SUBTRATOR Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. Somador de 8 Bits Primeiramente, criaremos

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Tutorial Xilinx 2 Baixando o Somador e Subtrator no FPGA. Ciência da Computação 5º Período

Tutorial Xilinx 2 Baixando o Somador e Subtrator no FPGA. Ciência da Computação 5º Período Tutorial Xilinx 2 Baixando o Somador e Subtrator no FPGA Ciência da Computação 5º Período Universidade Federal de Alagoas Campus Arapiraca Disciplina: Sistemas Digitais Alezy Oliveira Lima Arthur Ericky

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Open Day Bibliotecas ElipseX

Open Day Bibliotecas ElipseX Open Day Bibliotecas ElipseX Sumário 1 Introdução... 3 1.1 Pré-Requisitos... 3 1.2 Objetivos... 3 2 Bibliotecas ElipseX... 4 3 Aplicação... 5 3.1 Exercícios... 5 4 XControl... 7 4.1 Exercícios... 8 5 XObject...

Leia mais

Tutorial - CoDeSys - Sequencial Function Chart (SFC)

Tutorial - CoDeSys - Sequencial Function Chart (SFC) Tutorial - CoDeSys - Sequencial Function Chart (SFC) Prof. Diolino José dos Santos Filho O OBJETIVO DESTE TUTORIAL É A CONFIGURAÇÃO DO PLC FESTO CPX-CEC NO SOFTWARE CODESYS PARA A IMPLEMENTAÇÃO DE UM SFC

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

CRIANDO PERSONALIZAÇÕES/PATCHS DE APARELHOS (BLIBLIOTECAS)

CRIANDO PERSONALIZAÇÕES/PATCHS DE APARELHOS (BLIBLIOTECAS) TUTORIAL Nº 005 CRIANDO PERSONALIZAÇÕES/PATCHS DE APARELHOS (BLIBLIOTECAS) Autor: Ciro Ota São José dos Campos/SP, 02 de Setembro de 2008 INTRODUÇÃO: Nesse tutorial iremos mostrar como criar e configurar

Leia mais

TUTORIAL EXTRATOR DE DADOS CHEGADAS DE TURISTAS NÃO RESIDENTES AO BRASIL

TUTORIAL EXTRATOR DE DADOS CHEGADAS DE TURISTAS NÃO RESIDENTES AO BRASIL Ministério do Turismo Secretaria Executiva Diretoria de Estudos Econômicos e Pesquisas TUTORIAL EXTRATOR DE DADOS CHEGADAS DE TURISTAS NÃO RESIDENTES AO BRASIL SUMÁRIO 1- ACESSO AO EXTRATOR 2- ABERTURA

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Produção de tutoriais. Suellem Oliveira

Produção de tutoriais. Suellem Oliveira Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Produção de tutoriais Suellem Oliveira Jclic Organizar Textos Para a produção deste Tutorial

Leia mais

Tutorial 132 CP DUO Configuração MODBUS Escravo

Tutorial 132 CP DUO Configuração MODBUS Escravo Tutorial 132 CP DUO Configuração MODBUS Escravo Este documento é propriedade da ALTUS Sistemas de Informática S.A., não podendo ser reproduzido sem seu prévio consentimento. Altus Sistemas de Informática

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Produção de tutoriais. Suellem Oliveira

Produção de tutoriais. Suellem Oliveira Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Produção de tutoriais Suellem Oliveira Jclic Associação Complexa Para a produção deste Tutorial

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

Produção de tutoriais. Suellem Oliveira

Produção de tutoriais. Suellem Oliveira Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Produção de tutoriais Suellem Oliveira Jclic Associação Complexa Para a produção deste Tutorial

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS

DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS DEPARTAMENTO DE ENGENHARIA ELECTROTÉCNICA E DE COMPUTADORES INSTITUTO SUPERIOR TÉCNICO UNIVERSIDADE TÉCNICA DE LISBOA SISTEMAS DIGITAIS INTRODUÇÃO AO AMBIENTE DE PROJECTO DA XILINX ANTÓNIO GRILO, HORÁCIO

Leia mais

Jclic Alfabeto Sonoro

Jclic Alfabeto Sonoro Prefeitura de Volta Redonda Secretaria Municipal de Educação Implementação de Informática Aplicada à Educação Produção de tutoriais Suellem Oliveira Jclic Alfabeto Sonoro Para a produção deste Tutorial

Leia mais

SOLIDWORKS FloXpress, um dos produtos SOLIDWORKS Express que fazem parte de todas as versões do SOLIDWORKS.

SOLIDWORKS FloXpress, um dos produtos SOLIDWORKS Express que fazem parte de todas as versões do SOLIDWORKS. SOLIDWORKS FloXpress, um dos produtos SOLIDWORKS Express que fazem parte de todas as versões do SOLIDWORKS. A ideia principal do FloXpress é calcular a dinâmica dos fluidos que passam através dos modelos

Leia mais

Tutorial: Configuração do CLP Citrino

Tutorial: Configuração do CLP Citrino Tutorial: Configuração do CLP Citrino Abrir o software Citrino Tools Clicar em: Criar projeto, a tela abaixo é a tela de configuração do CLP. Cada aba refere-se a um tipo de configuração. Clicar em: Arquivo

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Usando o Eclipse - Fundamentos. Professor Vicente Paulo de Camargo

Usando o Eclipse - Fundamentos. Professor Vicente Paulo de Camargo Usando o Eclipse - Fundamentos Professor Vicente Paulo de Camargo O Eclipse é um ambiente de desenvolvimento integrado (IDE) É a ferramenta mais utilizada pelas empresas, visto que pode ser configurada

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Tutorial: como funciona o SysBackup

Tutorial: como funciona o SysBackup Tutorial: como funciona o SysBackup Assim que o Sistema Operacional for iniciado, será exibida a tela abaixo com a seguinte pergunta: ABRIR O SISTEMA DE BACKUP? Clique no botão SIM. ATENÇÃO: Caso o botão

Leia mais

Power Estimation FPGA ASIC

Power Estimation FPGA ASIC Power Estimation FPGA ASIC Power in CMOS Total Current is composed of two types of current Static Dynamic Static Current Leakage current in the turned off transistor channel Ideally zero (varies with technology)

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados

PSI-3451 Projeto de CI Lógicos Integrados PSI-3451 Projeto de CI Lógicos Integrados Sejam bem-vindos ao laboratório do PSI. Esta primeira prática de VHDL pretende familiarizar o aluno com o software para simulação ModelSim. Através dele serão

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

Guia de instalação CF 6031

Guia de instalação CF 6031 Guia de instalação CF 6031 CF 6031 Software de configuração para conexão de dados Windows XP, Windows Vista, Windows Seven, Windows 8 e Windows 10 Este tutorial serve de instrução para configuração do

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE NE 772 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

TREINADOR LÓGICO. O treinador lógico destina-se ao desenvolvimento de experiências com circuitos digitais em Laboratório Convencional.

TREINADOR LÓGICO. O treinador lógico destina-se ao desenvolvimento de experiências com circuitos digitais em Laboratório Convencional. TREINADOR LÓGICO O treinador lógico destina-se ao desenvolvimento de experiências com circuitos digitais em Laboratório Convencional. Visão geral: 1 A concepção inicial desse treinador é para ensaios com

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Tutorial SQL Server 2014 Express

Tutorial SQL Server 2014 Express Tutorial SQL Server 2014 Express Tutorial para o download: Passo 1: Faça o download do SQL Server 2014 Express acessando o link : https://www.microsoft.com/pt-br/download/details.aspx?id=42299. Passo 2:

Leia mais

TUTORIAL CONVERSÃO ARQUIVO DWG PARA SHP (Shapefile) UTILIZANDO SOFTWARE AUTOCAD MAP

TUTORIAL CONVERSÃO ARQUIVO DWG PARA SHP (Shapefile) UTILIZANDO SOFTWARE AUTOCAD MAP TUTORIAL CONVERSÃO ARQUIVO DWG PARA SHP (Shapefile) UTILIZANDO SOFTWARE AUTOCAD MAP 1. Introdução A tarefa em si, de converter um arquivo.dwg para.shp, é simples utilizando o software AutoCadMap. O grande

Leia mais

REDES INDUSTRIAIS. TREINAMENTO ELIPSE E3 Capítulo 11

REDES INDUSTRIAIS. TREINAMENTO ELIPSE E3 Capítulo 11 TREINAMENTO ELIPSE E3 Capítulo 11 Alunos: Bruno Nunes Gabriel Marafon Ivan Rolim Matheus Rosa Rarielen Souza Talita Bueno Professor: R. C. Betini COMUNICAÇÃO O E3 permite a comunicação com equipamentos

Leia mais

Tutorial sobre o uso da ferramenta de autoria A Ferramenta de Autoria - Célula

Tutorial sobre o uso da ferramenta de autoria A Ferramenta de Autoria - Célula Tutorial sobre o uso da ferramenta de autoria A Ferramenta de Autoria - Célula Célula é uma Ferramenta de Autoria para o padrão Ginga. Auxilia no processo de criação da interatividade na TV Digital e é

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Administração Central. Unidade do Ensino Médio e Técnico Cetec Capacitações São Paulo

Administração Central. Unidade do Ensino Médio e Técnico Cetec Capacitações São Paulo 2017 São Paulo 1 Criando nosso primeiro Navio Material Didático sobre Naval Robocode Conhecendo o Navio 1.1 Ambiente de Batalha O ambiente de batalha é o local onde será realizada a guerra entre os Robôs.

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Tutorial de Administração de sites do Portal C3

Tutorial de Administração de sites do Portal C3 Tutorial de Administração de sites do Portal C3 Carlos Magno da Rosa Graduando Sistemas de Informação Estagiário Centro de Ciências Computacionais Sumário Sumário... 2 1-Apresentação Geral... 3 3-Componentes

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais