ISE com VHDL comportamental

Tamanho: px
Começar a partir da página:

Download "ISE com VHDL comportamental"

Transcrição

1 UFRJ - DEL EEL Laboratório - Turmas EL1, EL2 ISE com VHDL comportamental Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário Vaz mariovaz@poli.ufrj.br UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 1-35

2 Aprendizado neste laboratório Construir sistemas digitais com o software ISE, para auxílio a projeto em FPGA da Xilinx. VHDL (Very high speed integrated circuit Hardware Description Language), como linguagem para modelar sistemas digitais e implementá-los em componentes programáveis. Componentes programáveis integrados comerciais : FPGA (Field Programmable Gate Array) CPLD (Complex Programmable Logic Device). Módulos para desenvolvimento de sistemas digitais em FPGA e CPLD. (design kits) UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 2-35

3 Como construir sistemas digitais Transformar algoritmos e funções booleanas em software (SW) para computadores e controladores, firmware (FW) para FPGA ou CPLD, otimizando no hardware (HW) velocidade, consumo de potência e complexidade ou custo, dentro de limites de prazos e custos estabelecidos para desenvolvimento e para produção. Criar sistemas funcionais e testáveis de modo a identificar erros de concepção e na operação, com custo mínimo em componentes, número de pinos, potência dissipada. Testar cada especificação por simulação, testes no padrão JTAG e por medidas utilizando osciloscópios, analisadores lógicos e sistemas digitais de teste, externos ao sistema. Documentar cada fase do projeto e cada detalhe do produto final. Aprender em conversas, nos livros, na literatura técnica e Internet, mas principalmente fazendo sistemas que sejam úteis e funcionais, copiando ou comprando o 1 o, para usar e aprender a fazer o 2 o, e seguir evoluindo, construindo, vendendo a partir do 3 o. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 3-35

4 O projeto de sistemas, feito nos níveis mais altos de abstração possíveis, é mais simples e rápido Visão Estrutural: hardware O Sistema Subsistemas: PLD,CPU,RAM Portas lógicas Transistor Nível de abstração/ Visão Funcional: software, firmware Algoritmo (comportamental) Modelo em RTL ou HDL Equação booleana Equação diferencial Blocos Planta Baixa Células Polígonos Visão Geométrica: desenhos de máscaras, leiautes, esquemáticos UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 4-35

5 Criar sistemas digitais em FPGA com o ISE 1 Definir o sistema design entry ambiente virtual 2 Verificar a sintaxe e Compilação (RTL) - compilation 3 Simular a lógica do modelo RTL - simulation 4 Implementar em PLD específica - implementation 5 Simular atrasos e coincidências time analysis 6 Configurar a FPGA ou CPLD configuration 7 Testar no módulo de desenvolvimento ambiente real Sempre documentar = Criar código VHDL comentado + fazer anotações de laboratório e relatórios, com uso de copy & paste de telas de simuladores e de medidores como analisadores lógicos ou osciloscópios) UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 5-35

6 Fluxograma da Metodologia de Projeto com o ISE Descrição do sistema em VHDL e RTL UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 6-35

7 Primeiro aprendizado: VHDL comportamental = construir um gerador de frequências abaixo de 50 MHz clk clk_in clk_div div_out clk_out Construir um divisor de frequências para o relógio de 50MHz do módulo de desenvolvimento Spartan3AN Starter Kit da Xilinx, usando o software ISE com VHDL comportamental. O sinal resultante será observado com osciloscópio em um pino de conector e no piscar de um dos LED no módulo. Desenhar o circuito lógico do sistema, relacionando o código VHDL dado e o esquema lógico visto no RTL Viewer do ISE. Referências: Tutorial de Alunos, manuais UG334 e UG695. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 7-35

8 Primeiro Passo : DESIGN ENTRY = Descrever o sistema em HDL para o ISE HDL = linguagem de descrição de hardware p.ex. Verilog e VHDL VHDL = VHSIC (Very high speed integrated circuits) HDL A linguagem VHDL é aplicada em frases feitas para definir e criar circuitos específicos, com o intuito de : Especificar e modelar o sistema para o software de projeto Documentar o sistema em desenvolvimento, e seu projeto Simular o sistema, testar ideias sobre seu funcionamento Usar métodos de verificação formal de sistemas Sintetizar o sistema segundo a metodologia embutida no software Automatizar a síntese do sistema e seus sub-sistemas Configurar Circuitos Integrados Programáveis = CPLD e FPGA Objetivos do uso de HDL na síntese de sistemas Realizar uma síntese confiável de custo mínimo em tempo mínimo, com o mínimo de erros que exijam correções. Dar qualidade ao projeto de sistemas. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 8-35

9 Exemplo de Design Entry: arquivo texto com Modelo do sistema em VHDL comportamental library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity clk_div is generic ( n: integer := 4); port (clk : in std_logic ; div : out std_logic ); end entity ; architecture divide of clk_d iv is signal cnt : integer := 0; signal div_temp : std_logic := '0'; begin div <= div_temp; process (clk) begin if (clk'event and clk = '1') then if cnt >= then div_temp <= not div_temp; cnt <= 1; else div_temp <= div_temp; cnt <= cnt + 1; end if; end if; end process; end divide; Elementos de VHDL: Bibliotecas Entidade Arquitetura Processo UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 9-35

10 Compreender os Conceitos Básicos de VHDL Documentação do projeto - HEADER Interfaces e Bibliotecas - LIBRARY Descrição Comportamental ARCHITECTURE Análise e síntese lógica SYNTHESIS Teste do firmware TESTBENCH Simulação e re-elaboração SIMULATION Implementação em FPGA IMPLEMENTATION Configuração do FPGA CONFIGURATION UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 10-35

11 HEADER documente cada arquivo que criar ou modificar com comentários ( linha inicia com - - ) Local: UFRJ - Escola Politécnica - Eletrönica e Computação -- Autor : Mário Vaz -- Criação: 17:31:15 09/01/ Projeto: base_tempo - BT -- Módulo: clk_div Behavioral.vhd -- FPGA: Spartan3AN Starter Kit -- Software: ISE Ação: divide a frequëncia de clock por n -- Dependências: library IEEE,.STD_LOGIC_1164, NUMERIC_STD -- Revisão 0.01 arquivo original library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; Header no início do arquivo Seguido de Library UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 11-35

12 A Primeira Declaração em VHDL : Library As bibliotecas padronizam o texto VHDL, nelas se definem variáveis, sinais, operadores e módulos a serem usados na descrição do sistema. A biblioteca IEEE é padrão, e a UNISIM define os módulos internos da FPGA usada neste curso. A declaração library antecede a declaração "entity". Com ela pode-se incluir várias "entity" em um único arquivo texto. nome da library componentes da library library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; library UNISIM; use UNISIM.Vcomponents.all palavras reservadas UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 12-35

13 A Segunda Declaração em VHDL : Entity Entity fornece a visão exterior do Sistema, nela se definem constantes, entradas e saídas do módulo Nome da entidade Sinais externos Direção dos sinais entity clk_div is generic ( n: integer := 2); port (clk : in std_logic; clk_out : out std_logic ); end entity ; Palavras reservadas Tipo do sinal UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 13-35

14 A 3 a declaração em VHDL: architecture Architecture Uma das implementações da entidade (entity) Podem haver várias para a mesma entity Behavioral architecture = modelo comportamental descreve o algoritmo que a entity executa Contém: process statements (processos), que por sua vez contem signal assignment statements (definição de sinais) e wait statements (definição de atrasos na definição de sinais para fins de simulação). UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 14-35

15 Architecture em VHDL comportamental Em architecture descrevemos o sistema: tem nome e sinais internos, e em seus processos tem a lógica e os algoritmos. architecture divide of clk_div is signal cnt : integer := 0; signal div_temp : std_logic := '0'; begin process (clk_in) begin div_out <= div_temp; if (clk_in'event and clk_in = '1') then if cnt >= n then cnt <= 0; div_temp <= not(div_temp); else div_temp <= div_temp; cnt <= cnt + 1; end if; -- div_out <= div_temp; end if; end process; end divide;o UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 15-35

16 Architecture do 1 o trabalho: 2 divisores fornecem 2 saídas diferentes, para osciloscópio e para LED library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity clk_div is generic ( n: integer := 2); port (clk_in : in std_logic; div, div2 : out std_logic ); end entity ; architecture divide2 of clk_div is signal cnt, cnt2 : integer := 0; signal div_temp, div_temp2 : std_logic := '0'; begin div <= div_temp; div2 <= div_temp2; process (clk_in) begin o primeiro divisor, para acender um LED if (clk_in'event and clk_in = '1') then if cnt >= then div_temp <= not(div_temp); cnt <= 1; else div_temp <= div_temp; cnt <= cnt + 1; end if; end if; o segundo divisor, para ser observado por osciloscópio if (clk_in'event and clk_in = '0') then if cnt2 >= n then cnt2 <= 0; div_temp2 <= '1'; else div_temp2 <= '0'; cnt2 <= cnt2 + 1; end if; end if; end process; end divide2; UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 16-35

17 Regras para VHDL Comportamental Cada signal ou variable em VHDL deve ser definido uma só vez, em um único processo, como sinais elétricos gerados em um único circuito lógico do sistema. Caso contrário use bus ou barramento, que pode ser partilhado por diferentes módulos com saída 3-state. Para circuitos sequenciais use máquinas de estado finito. Pense VHDL como descrição de hardware, circuitos lógicos em vez de frases de programação de máquinas de Turing segundo uma sintaxe VHDL. Use templates, espécie de frases feitas, não invente texto. Sempre que puder, projete o sistema em forma modular, usando os templates do ISE ou módulos de bibliotecas, validados pelo uso ou por empresas, o que será visto mais adiante como VHDL ESTRUTURAL. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 17-35

18 Design Entry além de VHDL Pode-se definir sistemas também através de : Esquemáticos com base de elementos lógicos, em blocos lógicos hierárquicos, com circuitos em diferentes niveis e páginas. Máquinas de estados finitos, diagrama de transição de estados, sinais de entrada e saída e sinais internos. Módulos padronizados de bibliotecas pessoais, comerciais, públicas, ou do software utilizado (ISE: templates, IPs). Arquivos de texto em outras linguagens, por exemplo: HDL = Verilog, JHDL = Java HDL, SystemC. Recursos do ISE: Design Template s e IP Core Generator, este para partes da FPGA (DCM, RAM, IO) ou firmware comercializado. A descrição VHDL ou HDL deve ser preferencialmente estrutural = modular, baseada em componentes pré-definidos. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 18-35

19 Segundo passo no ISE: Síntese pelo XST = Synthesize Verifica a sintaxe, identifica erros gramaticais nos textos em VHDL Não verifica a semântica, ou a lógica e algoritmo do sistema. Faz a síntese lógica do sistema, cria uma descrição em linguagem RTL (register transfer logic), minimizando área ocupada no dispositivo (número de blocos lógicos e interconexões) ou tempos de processamento (sincronização dos sinais nos módulos e interconexões). Gera arquivos para simulação lógica e implementação do sistema em um ou mais PLD específicos e cria uma library específica do projeto: library work, Para a realização correta da síntese pelo ISE, mantenha todos os arquivos do projeto em um só diretório. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 19-35

20 Ver o esquema do circuito lógico sintetizado pelo ISE O XST faz a síntese lógica do sistema, criando uma descrição em linguagem RTL (register transfer logic), que pode ser vista como um circuito lógico através de 2 programas do ISE:.RTL Viewer: a lógica independente da FPGA, dada em termos de portas lógicas e módulos especiais como DCM, multiplicadores, contadores, memórias RAM. Technology Viewer: o circuito é dado em termos de elementos tecnológicos: LUTs, carry logic, I/O buffers. Technology View em Exploration Mode permite ver os atrasos de sinais no esquemático, e analisar esses tempos. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 20-35

21 3o passo no ISE: Simulação lógica pelo ISIM = Simulation Simula todo o sistema, por processos lógicos simultâneos e confluentes ( que convergem para um fim). Simula comportamento por eventos em tempos discretos Avanço de tempo segundo atrasos ou período do relógio (clock) eventos (events): mudanças no valor de signal e variable Os processos evoluem em paralelo segundo eventos (events): Com atrasos dados nas declarações wait statements Reavaliando novos valores dos sinais após cada evento. Essas operações em paralelo se denominam transactions Novos eventos ocorrem quando o valor de algum dos sinais se altera em uma transaction. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 21-35

22 A condição inicial na Simulação O estado inicial, ou condição inicial do sistema, dada na operação de iniciação ou inicialização de operação, é necessária para definir completamente o sistema, desde o tempo 0 da simulação. Na medida em que os sinais evoluam no tempo, em cada processo pode ocorrer: Ativação do processo = execução do algoritmo Suspensão do processo por ocorrência de um wait. Após o tempo de espera especificado, a transaction é realizada, resultando ou não em eventos que ativarão os demais processos. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 22-35

23 O formalismo matemático da Simulação O tempo de simulação deve ser limitado ao necessário. - A simulação finda ao esgotar as scheduled transactions (transações esperadas), limitada pelo tempo máximo. O ciclo de simulação segue um fluxo em grafo. A simulação progride a cada transaction, simultâneamente para todos os componentes e processos do sistema, seguindo a evolução programada dos estados do sistema. Em cada transaction: O valor de cada signal ou variable é atualizado. Se produz um Evento. Cada processo, sensível à variáveis relacionadas ao evento, é executado independentemente dos demais processos, após os tempos de espera dados nos comandos wait ou after, ou períodos do relógio. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 23-35

24 Test Bench = bancada de teste simulado Para verificação do projeto é necessário testar o sistema por software usando os vetores de teste que serão usados no teste do hardware. Com test bench se tem o modelo VHDL deste teste e usa-se o ISIM com maior simplicidade e rapidez. Em View mode escolha para New Source do componente VHDL Test Bench. O test bench architecture tem: Um componente que é o sistema a ser testado Processos que geram sinais de teste nas entradas do sistema, os vetores de teste. Processos que comparam os sinais de saída do sistema por meio dos vetores de teste. Pode usar memória ROM ou RAM contendo os vetores de teste. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 24-35

25 Test Bench automático pelo ISE Com o ISE pode-se criar automaticamente um arquivo Test bench que serve apenas para fins de simulação, sem influir na síntese. Esta forma de simulação deve ser preferida porque define em VHDL o teste do sistema, que pode ser simulado junto com o próprio sistema. Esse arquivo não tem entradas nem saídas, apenas um componente, o módulo a ser testado e os processos de geração de estímulos e do Clock. O arquivo deve ser editado para definir as formas de onda desses sinais, com um processo para cada estímulo. Para criar o test bench de um módulo selecione-o e clique "New Source" em "Project". Na janela que surge com "New Source Wizard", selecione "VHDL Test Bench" e nomeie o novo módulo. Clique 'Next' e dê o nome do módulo VHDL a ser associado ao test bench. Clique 'Next'. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 25-35

26 O Testbench gerado pelo ISE deve ser modificado editando o texto com os parâmetros do teste. O arquivo criado pelo ISE inclui o sinal de clock e um estímulo não especificado. Ambos devem ser redefinidos Para assumir esta forma: entity test_bench is end entity test_bench; architecture test_reg4 of test_bench is signal clk, clk_out : standard_logic; begin dut : entity work.clk_div port map (clk, clk_out); stimulus : process is begin clk <= 1 ; wait for 10 ns; clk <= 0 ; wait for 10 ns; end process stimulus; end architecture test_reg4; UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 26-35

27 4o passo no ISE: Síntese do Sistemas Digital no FPGA = Implementation Consiste na adaptação da descrição RTL do sistema para o FPGA a ser usado. Resulta em um arquivo binário para configuração do FPGA no sistema desejado, e um arquivo para simulação temporal deste sistema sintetizado, definindo com precisão os atrasos de todos os sinais. O software de síntese usa modelos lógicos do FPGA escolhido: blocos lógicos configuráveis, barramentos de sinais e módulos como DCM e RAM. E segue as restrições de projeto dadas, relacionando pinos a sinais que entram e saem da FPGA, limitando tempos de atraso de determinados sinais. O projetista pode escolher se a síntese deve ser voltada para maior velocidade de operação do sistema, ou para economizar recursos ou área do FPGA, reduzindo o custo em componentes programáveis usados no projeto. Pode também ver o resultado da síntese como esquemático pelo Technology Viewer. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 27-35

28 Implementar clk_div associando os sinais de entrada e saída aos pinos do Spartan3AN Há 3 modos diferentes de fazer isto, como se pode ler no tutorial do ISE, UG695: 1 - Com o software Plan Ahed leia o manual da Xilinx sobre ele, UG Editar no texto do arquivo.ucf, a pinagem dada no manual do Starter Kit, UG334, seguindo as declarações.net. 3 - Em VHDL, usando atributos LOC, ao declarar a entity, na seguinte forma : entity clk_div is generic ( n: integer := 2); port (clk_in : in std_logic; div, div2 : out std_logic ); attribute LOC : string ; attribute LOC of clk_in : signal is "E12"; attribute LOC of div : signal is "R20"; attribute LOC of div2 : signal is "Y18"; attribute FAST : string ; attribute FAST of div2 : signal is "TRUE"; end entity ; Pelo string LOC o sinal clk_in entra no pino E12 da FPGA, o sinal div sai em R20 para um LED e div2 no pino Y18 do conector J19. O string FAST é usado para fazer o sinal div2 sair por um driver de alta corrente para acionar em mais alta velocidade o pino Y18. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 28-35

29 Pinos da Spartan3AN na Starter Kit para LED e conectores de 8 terminais Use copy & paste do manual do Starter Kit, UG334 para o arquivo.ucf, para definir outros pinos da FPGA como outros terminais para LED e conectores de 8 pinos : --NET "LED<7>" LOC = "W21" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<6>" LOC = "Y22" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<5>" LOC = "V20" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<4>" LOC = "V19" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<3>" LOC = "U19" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<2>" LOC = "U20" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<1>" LOC = "T19" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; --NET "LED<0>" LOC = "R20" IOSTANDARD = LVCMOS33 SLEW = SLOW DRIVE = 8 ; -- NET J18_IO1 LOC = AA21 NET J18_IO2 LOC = AB21 NET J18_IO3 LOC = AA19 NET J18_IO4 LOC = AB19 NET J19_IO1 LOC = Y18 NET J19_IO2 LOC = W18 NET J19_IO3 LOC = V17 NET J19_IO4 LOC = W17 UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 29-35

30 5 o passo no ISE: Simulação temporal pelo ISIM = Time analysis Se usam os modelos de atrasos de geração e propagação de sinais dentro da FPGA ou CLPD obtidos com a implementação, quando a lógica é mapeada nos blocos lógicos configuráveis ( mapping ) e nas linhas de transmissão de dados que ligam estes blocos (routing). Os atrasos nos sinais da lógica ou do relógio (clock) são calculados com precisão, o que não foi feito na simulação lógica, onde os atrasos são considerados nulos a menos que sejam definidos por declarações do tipo wait ou after. Verifica se as restrições de tempo ( time design constraints) dadas ao ISE foram respeitadas. Calcula os tempos máximos de propagação dos sinais e a frequência máxima de operação do sistema. Use Post-Route Simulation conforme mostrado a seguir. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 30-35

31 Time analysis: Pos-route simulation 1 Esta receita consta do manual da Xilinx sobre síntese e simulação, deve ser estudada sua forma de uso na versão do ISE que temos: 1 - After run Implement Design process, in the View pane of Design panel select Simulation, in the dropout box select Post-Route Simulation. 2 - Select a test bench file or an HDL source file n the Hierarchy pane. To simulate a lower-level module, set the Generate Multiple Hierarchical Netlist option in the Simulation Model Properties dialog box for the Generate Post-Place & Route Simulation Model process. After the simulation model netlist is generated, the netlist appears in the hierarchy under the test bench that instantiates it. Select this test bench to simulate. If you select a test bench to simulate, the necessary netlist will be generated for the top module of the design. 3 - In the Processes pane, expand ISim Simulator. 4 - Right-click Simulate Post-Place & Route Model, and select Process Properties. In the Process Properties dialog box, set the ISim Properties and Simulation Model Properties. (continua na página seguinte) UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 31-35

32 Time analysis: Pos-route simulation Double-click Simulate Post-Place & Route Model. The files that are passed to your simulator include the following: - Test bench file - Post-place and route simulation model (VHDL / Verilog file) - Standard Delay Format (SDF) file, which contains true delay information for your design 6 - Simulation is performed and the results are displayed in your simulator. If no stimulus is available, the design is simply compiled and loaded in the simulator. You must then create a stimulus file and perform a simulation on the design in the simulator. For more information, see ISim Help. In the Help Viewer, click the Synchronize TOC button Image to view all related Help topics. 7 - Analyze the results of the simulation process in your simulator, or rerun the Implement Design process. 8 - If the results are correct, generate a programming file.. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 32-35

33 6 o passo no ISE: Configuração do FPGA como clk_div = Configuration 1 - Após implementar o sistema, o módulo Starter Kit energizado e ligado ao computador por Platform Cable USB, o ISE em Implementation View, selecione o módulo top do projeto e clique Configure Target Device, abrindo impact. 2 - Clique Boundary Scan e com o botão direito do mouse na janela Initialize Chain. Se tudo estiver certo, aparecerá ícones da FPGA e de uma EPROM, senão corrija erros e repita tudo. Atribua o arquivo.bit do projeto à FPGA e bypass à EPROM. 3 - Selecione Program FPGA Only com botão direito do mouse sobre o ícone do FPGA, que será configurada com clk_div. 4 - O LED que recebe a saída do clk_div deve piscar, confirmando a configuração do FPGA. 5 Em dúvida consulte o tutorial de alunos, ou o capítulo 8 do tutorial do ISE, UG695. Em último caso, o manual UG332 da Xilinx Spartan-3 Generation Configuration User Guide UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 33-35

34 7 o passo: medidas na Starter Kit Configurada a FPGA, usar a placa Spartan3AN Starter Kit para verificar se o sistema está implementado corretamente. Primeiro observando se o LED pisca na taxa esperada, depois com o osciloscópio meça a frequência dos sinais que saem do FPGA, e confira se coincide com o esperado. Se não confere reveja o modelo que estabeleceu para a lógica, ou refaça o código VHDL. E documente tudo, faça seu relatório. Futuramente será necessário estimular a FPGA com sinais externos de geradores de sinais ou de outra FPGA em outra placa, e verificar as saídas com osciloscópios e analisadores digitais, ou de sistemas de teste externos em outra FPGA. Ou, se as especificações permitirem, de forma mais simples e econômica, estimular a FPGA com sinais internos, usando um sistema que atue como gerador e analisador de sinais implementado na mesma FPGA, na mesma placa. UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 34-35

35 Referências para aprendizado de VHDL e ISE ANSI/IEEE Std IEEE Standard VHDL Language Reference Manual VHDL Reference Guide Xilinx VHDL no contexto da Xilinx ver Ver em especial: UG331 - Spartan-3 FPGA User Guide ( V.1.8, 11 MB ) [PDF] UG332 Spartan-3 Configuration User Guide ( V.1.6, 10 MB ) [PDF] UG334 - Spartan3AN Starter Kit Board User Guide ( V.1.1, 5 MB ) [PDF] UG607 - Spartan-3 Libraries Guide for HDL ( V.14.7, 6 MB ) [PDF] UG695 - ISE In-Depth Tutorial ( V.14.1, 5MB ) [PDF] + wtut_vhd.zip Livros: - FPGA Prototyping by VHDL Examples, Xilinx SpartanTM-3 Version - P.P.Chu, Wiley Interscience 2008 (tem uma cópia no laboratório). - Circuit Design with VHDL, Volnei A. Pedroni, MIT Press, The Designer s Guide to VHDL, P.Ashenden, J.Lewis, Elsevier, UFRJ / DEL EEL480 Laboratório das Turmas EL1/EL2 - Mário Vaz 35-35

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Primeiro Trabalho de Sistemas Digitais

Primeiro Trabalho de Sistemas Digitais Primeiro Trabalho de Sistemas Digitais 1 Introdução O objetivo deste trabalho é criar um divisor de frequência simples em linguagem VHDL comportamental e simular o projeto no software ISE. 2 Planejamento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Tutorial - Xilinx ISE

Tutorial - Xilinx ISE Tutorial - Xilinx ISE Universidade Federal do Rio de Janeiro Escola Politécnica Departamento de Eletrônica e Computação Autores: Artur Lemos Ioav Lichtenstein Thiago Lobo Orientador: Mário Vaz Índice:

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Segundo Trabalho de Sistemas Digitais

Segundo Trabalho de Sistemas Digitais Segundo Trabalho de Sistemas Digitais Alunos: Márcio Teixeira, Louise Landi e Tharsus Proux. Professor: Mário Vaz Filho. 1 Introdução Tutorial de um Divisor de Frequências em VHDL O objetivo deste trabalho

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando VHDL Professor Dr. Michael Klug 1 Comparativo Linguagens Linguagem de Programação x HDL Supondo que cada instrução leve 20ns entre 40 e 60ns para conclusão

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) PACOTES (package) Entidades e Arquiteturas são

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Circuitos/Sistemas Integrados Digitais (CID/SID)

Circuitos/Sistemas Integrados Digitais (CID/SID) Circuitos/Sistemas Integrados Digitais (CID/SID) Ano Lectivo 2002/03 1 a Trabalho de Laboratório Paulo Flores, Horácio Neto 1 Objectivos Pretende-se com este trabalho de laboratório introduzir e familiarizar

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 02 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação POR QUE APRENDER CONCEITOS

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores VHDL - VHSIC Hardware Description Language Arquitetura de Computadores Leonardo Augusto Casillo Referências bibliográficas PELLERIN, David. TAYLOR, Douglas. VHDL Made Easy. Prentice-Hall PTR. 1997. SKANHILL,

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais 23/abril/2019 Introdução à Linguagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Projeto Moderno de Sistemas Digitais

Projeto Moderno de Sistemas Digitais Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2 Tecnologias de Lógica

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Projecto de Sistemas Digitais. Trabalho Prático 1

Projecto de Sistemas Digitais. Trabalho Prático 1 Licenciatura em Engenharia Electrotécnica e de Computadores 2004/05 1 o semestre Projecto de Sistemas Digitais Trabalho Prático 1 Modelação, síntese e implementação de circuitos sequenciais síncronos Objectivos

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor.

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. TUTORIAL XILINX SOMADOR E SUBTRATOR Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. Somador de 8 Bits Primeiramente, criaremos

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Power Estimation FPGA ASIC

Power Estimation FPGA ASIC Power Estimation FPGA ASIC Power in CMOS Total Current is composed of two types of current Static Dynamic Static Current Leakage current in the turned off transistor channel Ideally zero (varies with technology)

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

Introdução ao módulo LabVIEW FPGA

Introdução ao módulo LabVIEW FPGA Introdução ao módulo LabVIEW FPGA Guilherme Yamamoto Engenheiro de Marketing de Produto Tecnologia FPGA Blocos de E/S Acesso direto às E/S digitais e analógicas. Interconexões programáveis Roteamento de

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Fernando Gehm Moraes Matheus Trevisan 20/janeiro/2016 Introdução O objetivo deste trabalho é especificar um módulo que deverá ser implementado

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans atualização - 05/agosto/2013 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais