ALU. Ciclo de Relógio. de Dados. Memória. Instruções. Memória de. Máquina de Estados DIV. Decodificação M11 NPC MDR RESULT. npc=npc_out=npc.

Tamanho: px
Começar a partir da página:

Download "ALU. Ciclo de Relógio. de Dados. Memória. Instruções. Memória de. Máquina de Estados DIV. Decodificação M11 NPC MDR RESULT. npc=npc_out=npc."

Transcrição

1 Comparador Memóra de Instruções RALU=d_Address ALU Memóra de Dados ads _nt D_H D_ Prova P2 Dscplna: Organzação de Computadores Professor: Ney Laert Vlar Calazans Aluno: 21/novembro/ [3,5 pontos]. Consdere o processador multcclo abaxo (é o mesmo vsto em aula): a) [1,5 pontos]. Marcar sobre o desenho todos os camnhos que contém nformações útes à execução da nstrução SW, Offset() em algum cclo de relógo durante a execução (nos multplexadores, ndcar de que entrada a saída do multplexador vem). b) [2 pontos]. Use a tabela abaxo do desenho para explcar sucntamente o que ocorre em cada cclo de relógo para as duas nstruções especfcadas na segunda e tercera colunas da tabela. A cada cclo, dzer que regstrador(es) é(são) escrto(s), e com qual conteúdo (semântca do valor), e a operação que a ULA executa, no cclo relevante. Se algum cclo não for usado em alguma das duas nstruções, dexe-o em branco. Máquna de Estados wreg wpc rw whlo walu cebw M1 dtpc I/ salta_n PC wpc Decodfcação npc=_out= +4 nc_pc [25:21] [20:16] [15:11] M4 [20:16] _IN=_OUT end_dv M3 [20:16] AdRP1 add AdWP DataWP Banco de Regstradores AdRP2 end_mul wreg RESULT RIN M2 R1 DataRP1 DataRP2 R2 npc DIV MULT M6 op1 M7 op2 quocente resto produto(63 down to ) M11 produto(31 downto 0) whlo H M10 H whlo outalu RALU walu M9 ce rw bw x & data [7:0] data M8 nstructon [15:0] EXT_SINAL << 2 M5 cte_m salta_n = salta = salta_out r w ce [25:0] 0000 & [25:0] & 00 [15:0] EXT_ZERO Cclo de Relógo Instrução: SW, Offset() Instrução: JALR 1º 2º 3º 4º 5º

2 2. [3,0 pontos]. Consdere a versão smplfcada da ULA (ou ALU) do processador MIPS monocclo vsto em aula, cujo códgo VHDL é mostrado abaxo. 1. archtecture alu of alu s 2. begn 3. outalu <= 4. op1 - op2 when op_alu=subu else 5. op1 and op2 when op_alu=aand else 6. op1 or op2 when op_alu=oor or op_alu=ori else 7. op1 xor op2 when op_alu=xxor else 8. op1 nor op2 when op_alu=nnor else 9. op1 + op2; 10. end alu; Desenhe um hardware correto que sera gerado por uma ferramenta de síntese ao processar esta lnha, assumndo o segunte: op_alu é conectado ao snal uns., um snal que codfca com o mínmo número de bts possível os símbolos assocados ao nome de cada nstrução do processador e o símbolo nvald_nstructon. A codfcação parte do menor valor possível, e segue em ordem crescente para os símbolos ordenados conforme aparece na declaração do tpo nst_type (ou seja, ADDU, SUBU, AAND, OOR, XXOR, NNOR, LW, SW, ORI, nvald_nstructon). Não é necessáro detalhar os operadores gerados pelas expressões op1 op2, op1 and op2, etc., nem a mplementação do hardware que toma a saída destes operadores e gera o snal outalu. Estes podem ser apenas caxas com entradas, saídas e texto dzendo o que tem dentro das caxas. Contudo, quer-se que a lógca de controle que escolhe o que va para outalu seja detalhada no nível de portas lógcas. 3. [3,5 pontos]. Dada uma frequênca de operação de 400MHz para o processador MIPS monocclo, assuma que a organzação orgnal fo alterada para dar suporte a todas as nstruções do programa abaxo, mantendo a característca monocclo. Com estes pressupostos, calcule e dga: a) (1,5 pontos). O número de cclos de relógo que leva p/ executar o programa, com a área de dados fornecda; b) (0,5 ponto). O tempo de execução do programa em segundos (1ns=10-9 segundos); c) (1,0 ponto). O que faz este programa, do ponto de vsta semântco. Dga o que ele gera como saída; d) (0,5 pontos). Se este programa possu subrotna(s). Se sm, dga onde esta(s) se encontra(m), defnndo o ntervalo de lnhas que ela(s) ocupa(m). 1..text 2..globl man 3. man: la $s0,vt 4. xor $s1,$s1,$s1 5. la $t2,n 6. lw $t2,0($t2) 7. l: lw $t3,0($s0) 8. and $t3,$t3,1 9. beq $t3,$zero,ep 10. v: addu $s0,$s0,4 11. addu $t2,$t2, beq $t2,$zero,fm 13. j l 14. ep: addu $s1,$s1,1 15. j v 16. fm: la $t1,np 17. sw $s1,0($t1) 18. l $v0, syscall 20..data 21. np:.word vt:.word n:.word 12

3 Comparador Memóra de Instruções RALU=d_Address ALU Memóra de Dados ads _nt D_H D_ Gabarto 1. [3,5 pontos]. Consdere o processador multcclo abaxo (é o mesmo vsto em aula): a) [1,5 pontos]. Marcar sobre o desenho todos os camnhos que contém nformações útes à execução da nstrução SW, Offset() em algum cclo de relógo durante a execução (nos multplexadores, ndcar de que entrada a saída do multplexador vem). b) [2 pontos]. Use a tabela abaxo do desenho para explcar sucntamente o que ocorre em cada cclo de relógo para as duas nstruções especfcadas na segunda e tercera colunas da tabela. A cada cclo, dzer que regstrador(es) é(são) escrto(s), e com qual conteúdo (semântca do valor), e a operação que a ULA executa, no cclo relevante. Se algum cclo não for usado em alguma das duas nstruções, dexe-o em branco. Máquna de Estados wreg wpc rw whlo walu cebw M1 dtpc I/ salta_n PC wpc Decodfcação npc=_out= +4 nc_pc [25:21] [20:16] [15:11] M4 [20:16] _IN=_OUT end_dv M3 [20:16] AdRP1 add AdWP DataWP Banco de Regstradores AdRP2 end_mul wreg RESULT RIN M2 R1 DataRP1 DataRP2 R2 npc DIV MULT M6 op1 M7 op2 quocente resto produto(63 down to ) M11 produto(31 downto 0) whlo H M10 H whlo outalu RALU walu M9 ce rw bw x & data [7:0] data M8 nstructon [15:0] EXT_SINAL << 2 M5 cte_m salta_n = salta = salta_out r w ce [25:0] 0000 & [25:0] & 00 [15:0] EXT_ZERO Cclo de Relógo 1º 2º 3º Instrução: SW, Offset() Instrução: JALR O conteúdo do regstrador PC é usado para buscar o códgo objeto da nstrução SW,Offset() da Memóra de Instruções, que é carregado no regstrador. O valor do PC ncrementado de 4 é carregado no regstrador. Snal atvado. Instrução é decodfcada no BC, que gera snas de controle p/ sua execução a cada cclo. Neste, faz com que seja carregado com o valor do regstrador base, seja carregado com o deslocamento (offset) estenddo para bts e seja carregado com dado a ser escrto na memóra. A ALU produz o endereço de escrta, somando base e offset, o que é então carregado em RALU. Snal atvo: walu. Instrução é decodfcada no BC, que gera snas de controle p/ sua execução a cada cclo. Neste, faz com que receba o endereço para onde saltar. A ALU produz o endereço de salto, smplesmente carregando sua entrada op1 em RALU. Snal atvo: walu. 4º Conteúdo de RALU endereça a memóra de dados, snas ce/rw/bw comandam a escrta de 4 bytes a partr deste endereço com os conteúdos de, conectados à entrada da memóra pelos buffers trstate controlados por rw e ce. Em paralelo, o valor do é copado para o PC. Snal atvo: wpc. Conteúdo de RALU passa para RESULT e daí para a entrada do PC e lá é carregado (saltando). Em paralelo, o banco de regstradores é escrto no regstrador $ra ($31 ou 11111) com o valor de. Snas atvos: wreg e wpc. 5º - -

4 Solução: a) O desenho acma fo anotado com os camnhos usados pela nstrução. Note que foram salentados todos os snas de controle efetvamente relevantes para a nstrução. O Item b) detalha o processo que ocorre, cclo de relógo a cclo de relógo. b) Ver conteúdo da tabela acma para as duas nstruções. Observar que houve uma falha na especfcação deste tem, pos a nstrução JALR Rótulo devera de fato ser JALR, pos seu operando é um regstrador e não um endereço de nstrução (como ocorre nas nstruções JAL e J). Isto será consderado durante a correção, de forma a não prejudcar os alunos de nenhuma forma. 2. [3,0 pontos]. Consdere a versão smplfcada da ULA (ou ALU) do processador MIPS monocclo vsto em aula, cujo códgo VHDL é mostrado abaxo 1. archtecture alu of alu s 2. begn 3. outalu <= 4. op1 - op2 when op_alu=subu else 5. op1 and op2 when op_alu=aand else 6. op1 or op2 when op_alu=oor or op_alu=ori else 7. op1 xor op2 when op_alu=xxor else 8. op1 nor op2 when op_alu=nnor else 9. op1 + op2; 10. end alu; Desenhe um hardware correto que sera gerado por uma ferramenta de síntese ao processar esta lnha, assumndo o segunte: op_alu é conectado ao snal uns., um snal que codfca com o mínmo número de bts possível os símbolos assocados ao nome de cada nstrução do processador e o símbolo nvald_nstructon. A codfcação parte do menor valor possível, e segue em ordem crescente para os símbolos ordenados conforme aparece na declaração do tpo nst_type (ou seja, ADDU, SUBU, AAND, OOR, XXOR, NNOR, LW, SW, ORI, nvald_nstructon). Não é necessáro detalhar os operadores gerados pelas expressões op1 op2, op1 and op2, etc., nem a mplementação do hardware que toma a saída destes operadores e gera o snal outalu. Estes podem ser apenas caxas com entradas, saídas e texto dzendo o que tem dentro das caxas. Contudo, quer-se que a lógca de controle que escolhe o que va para outalu seja detalhada no nível de portas lógcas. Solução: A ALU executa a cada nstante uma de 6 operações bnáras (ou seja, de dos operandos) dstntas sobre valores de bts (veja a declaração de op1 e de op2). go sua mplementação consste em um multplexador que recebe 6 vetores de bts (canddatos a passar para sua saída outalu) e produz o vetor de bts outalu. A lógca de controle é o que se quer que seja detalhado no nível de portas lógcas. Para tanto, usando o esquema de codfcação proposto, cada nstrução será codfcada em 4 bts (ADDU 0000, SUBU 0001,..., nvald_nstructon 1001). Por outro lado, o multplexador deve ser controlado por um vetor de no mínmo 3 bts (para gerar as 6 possbldades dstntas de seleção de entrada). Ordenando as entradas do multplexador de acordo com sua posção no texto VHDL, pode-se assocar as entradas do mux assm: 0(000) SUBU, 1(001) AND, 2(010) OR/ORI, 3(011) XOR, 4(100) NOR. 5(101) demas nstruções e nvald_nstructon. A partr destas codfcações pode-se gerar o hardware completo. O hardware consste em três funções Booleanas de 4 varáves, assm defndas: Cód. nstrução uns.(3) uns.(2) uns.(1) uns.(0) mux(2) mux(1) mux(0) Cód. operação ADDU SUBU AND and OR or XOR xor NOR nor LW SW ORI or Invald_nstructon De posse desta tabela verdade, usa-se qualquer método adequado de mplementação das funções. Veja por exemplo a aplcação web dsponível em O resultado é (. representa função and, + representa função or e ~ representa função not):

5 mux(2) = uns.(2).uns.(0) + uns.(2).uns.(1) + (~uns.(3)).(~uns.(2)).(~uns.(1)).(~uns.(0)) mux(1) = (~uns.(2)).uns.(1).uns.(0) + uns.(2).(~uns.(1)).(~uns.(0)) mux(0) = (~uns.(3)).(~uns.(0)) + uns.(2).uns.(1) O desenho abaxo lustra a estrutura completa da ALU uns.(3) uns.(2) uns.(1) uns.(0) mux(2) mux(1) mux(0) 3 mux(2 downto 0) - 0 and 1 or xor 2 3 outalu nor op1 op2

6 3. [3,5 pontos]. Dada uma frequênca de operação de 400MHz para o processador MIPS monocclo, assuma que a organzação orgnal fo alterada para dar suporte a todas as nstruções do programa abaxo, mantendo a característca monocclo. Com estes pressupostos, calcule e dga: a) (1,5 pontos). O número de cclos de relógo que leva p/ executar o programa, com a área de dados fornecda; b) (0,5 ponto). O tempo de execução do programa em segundos (1ns=10-9 segundos); c) (1,0 ponto). O que faz este programa, do ponto de vsta semântco. Dga o que ele gera como saída; d) (0,5 pontos). Se este programa possu subrotna(s). Se sm, dga onde esta(s) se encontra(m), defnndo o ntervalo de lnhas que ela(s) ocupa(m). 1..text # 2..globl man # Cclos 3. man: la $s0,vt # (2) $s0 recebe pontero para níco do vetor vt 4. xor $s1,$s1,$s1 # (1) zera $sa, o contador de pares 5. la $t2,n # (2) toma endereço do número de elementos de vt 6. lw $t2,0($t2) # (1) $t2 fca com tamanho de vt ncalmente 7. l: lw $t3,0($s0) # (1) $t3 recebe elemento do vetor 8. and $t3,$t3,1 # (1) $t3 1 se elemento do vetor é ímpar, 0 se par 9. beq $t3,$zero,ep # (1) salta para ep se achou par, senão segue 10. v: addu $s0,$s0,4 # (1) ncrementa pontero para próxmo elemento 11. addu $t2,$t2,-1 # (1) decrementa contador de elementos a processar 12. beq $t2,$zero,fm# (1) salta para o fm se acabou 13. j l # (1) senão, volta para processar novo elemento 14. ep: addu $s1,$s1,1 # (1) chega aqu qdo elemento é par, ncrementa contador 15. j v # (1) depos volta para atualzar pontero, contador, teste 16. fm: la $t1,np # (2) chega aqu ao fm do processamento. Pega end var np 17. sw $s1,0($t1) # (1) escreve valor do contador de pares em np 18. l $v0,10 # (1) e sa fora do programa 19. syscall # (1) com syscall data 21. np:.word vt:.word n:.word 12 Solução: a) O programa possu um cclo apenas, que executa uma vez para cada elemento do vetor vt, ou seja, 12 vezes. O laço ocupa as lnhas 7-13, mas executa opconalmente as lnhas 14-15, quando acha um elemento par de vt. As nstruções dos trechos das lnhas 3-6 e são executadas exatamente uma vez, gastando 6+5=11 cclos. vt possu 6 elementos pares e 6 elementos ímpares. Assm, a execução do laço toma 6*9=54 cclos (para pares) + 6*7-1=41 cclos (para ímpares). O -1 ao fnal da expressão para ímpares ocorre porque o êxto no teste do beq da lnha 12 evta executar a lnha 13 apenas. Assm, o número de cclos total para executar o programa é =106 cclos. b) Como f=400mhz, o período do relógo é T=(1/(400*10 6 ))s ou 2,5x10-9 s. Para executar o programa leva-se então 106*2,5x10-9 s=2,65x10-7 s. c) O programa computa quantos elementos pares o vetor vt possu e escreve este número na varável np em memóra. d) O programa não possu subrotnas (não usa a nstrução jal seguda de jr $ra).

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Comparador Memóra de Instruções RALU=d_Address ALU Memóra de Dados ads _nt 11111 D_H D_ Prova P2 Dscplna: Organzação de Computadores Professor: Ney Laert Vlar Calazans Aluno: 21/junho/2018 1. [3,5 pontos].

Leia mais

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans MULTICICLO syscall space syscall addiu 1. .data 2.

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans MULTICICLO syscall space syscall addiu 1. .data 2. Prova P4/PS Dscplna: Organzação de Computadores-EC Professor: Ney Laert Vlar Calazans Aluno: 30/novembro/2017 1. (3,5 pontos). Assumndo uma frequênca de relógo de 200 MHz para a organzação MIPS MULTICICLO

Leia mais

MIPS_Monociclo. instruction instr add AdWP. wreg instruction. Memória de

MIPS_Monociclo. instruction instr add AdWP. wreg instruction. Memória de Prova P2 Dscplna: Organzação Computadores Professor: Ney Laert Vr Cazans Aluno: 20/novembro/2018 1. [3,0 pontos] Assumndo uma frequênca relógo 200MHz para a organzação MIPS monocclo estudada em au (e com

Leia mais

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans 0x

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans 0x Prova P4/PS Dscplna: Organzação de Computadores-EC Professor: Ney Laert Vlar Calazans Aluno: 30/novembro/2018 1. [3 pontos] Dado o trecho de programa em lnguagem de montagem do MIPS abaxo, gere códgo objeto

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Dscplna: Organzação de Computadores-EC Professor: Ney Laert Vlar Calazans Aluno: 28/junho/2017 1. [3 pontos] Dado o trecho de programa em lnguagem de montagem do MIPS abaxo, gere códgo objeto

Leia mais

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Dscplna: Organzação de Computadores-EC Professor: Ney Laert Vlar Calazans Aluno: 30/novembro/2016 1. [3 pontos] Dado o programa em lnguagem de montagem do MIPS abaxo, gere códgo objeto para

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Dscplna: Organzação de Computadores-EC Professor: Ney Laert Vlar Calazans Aluno: 24/junho/2016 1. [3 pontos] Dado o programa em lnguagem de montagem do MIPS abaxo, gere códgo objeto para as

Leia mais

1. uins.ce <= '1' when i=sw or i=lw else '0'; 2. uins.rw <= '0' when i=sw else '1'; 3. uins.wreg <= '0' when i=sw else '1';

1. uins.ce <= '1' when i=sw or i=lw else '0'; 2. uins.rw <= '0' when i=sw else '1'; 3. uins.wreg <= '0' when i=sw else '1'; Prova P2 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 4/novembro/215 Para realizar a prova, refira-se à proposta de organização MIPS monociclo vista em aula. O desenho

Leia mais

instruction uins.i add AdWP instruction Memória de ext_sinal ext_0

instruction uins.i add AdWP instruction Memória de ext_sinal ext_0 Prova P2 Disciplina: Organização Computadores Professor: Ney Laert Vilar Calazans Aluno: 18/novembro/2016 Para realizar a prova, refira-se à proposta organização MIPS monociclo vista em aula. O diagrama

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 Disciplina: Organização Computadores Professor: Ney Laert Vilar Calazans Aluno: 16/junho/2017 Para realizar a prova, refira-se à proposta organização MIPS monociclo vista em aula. O diagrama blocos

Leia mais

Prova G2 Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans .data 0x1E20FFF9 seis palavras da memória de programa

Prova G2 Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans .data 0x1E20FFF9 seis palavras da memória de programa Prova G2 Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 07/dezembro/2012 1. (3,0 pontos) Verdadeiro ou Falso. Abaixo aparem 10 afirmativas. Marque com V as afirmativas

Leia mais

datapath adrt adrd uins.i ext_sinal ext_0

datapath adrt adrd uins.i ext_sinal ext_0 Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 9/novembro/212 Para realizar a prova, refiram-se as propostas organização MIPS monociclo e multiciclo vistas em aula.

Leia mais

1: 2: 2, [1] [2] 0x x001f8021 $16,$0,$31 13 [3] 0x x

1: 2: 2, [1] [2] 0x x001f8021 $16,$0,$31 13 [3] 0x x Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 14/setembro/2012 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

O PROCESSADOR MULTICICLO MIPS_S

O PROCESSADOR MULTICICLO MIPS_S V 2.1 - De: 23/novembro/2016 por Ney Calazans - 1 - Organzação de Computadores O PROCESSADOR MULTICICLO MIPS_S 1 CARACTERÍSTICAS GERAIS DA ARQUITETURA MIPS A arqutetura MIPS é do tpo load-store, ou seja,

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 3/maio/21 Para realizar a prova, refira-se à proposta organização MIPS monociclo vista em aula. O senho da versão monociclo

Leia mais

66 [2] 0x x c

66 [2] 0x x c Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 16/setembro/2015 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 22/abril/2009

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 22/abril/2009 Prova P1 Aluno Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 22/abril/2009 Valor das questões: 1) 3 pontos 2) 3 pontos 3) 4 pontos 1. O fragmento de

Leia mais

Número de Linha. Código objeto

Número de Linha. Código objeto Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 25/novembro/2015 1. [3 pontos] Dado o trecho de programa em linguagem de montagem do MIPS abaixo, gere

Leia mais

Dicas: Nas linhas 7 e 8 as constantes imediatas são especificadas como caracteres ASCIIE, o que é aceito pelo montador MARS.

Dicas: Nas linhas 7 e 8 as constantes imediatas são especificadas como caracteres ASCIIE, o que é aceito pelo montador MARS. Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 15/setembro/2016 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova G2 isciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 5/julho/213 1. (2, pontos) Abaixo é dado um código em linguagem de montagem do MIPS. iga em uma frase o que

Leia mais

Implementação de um Subconjunto Multi-Ciclo do Processador MIPS

Implementação de um Subconjunto Multi-Ciclo do Processador MIPS Implementação de um Subconjunto Multi-Ciclo do Prossador MIPS Fernando Moraes 09/10/2006 Última alteração - Ney Calazans, 23/11/2016 1 Reg1 Reg2 Reg n-1 Reg n ESCRIÇÃO RTL de um HW MULTI-CICLO Cada estágio

Leia mais

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 07/outubro/2009

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 07/outubro/2009 Prova P1 Aluno Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 07/outubro/2009 Valor das questões: 1) 4 pontos 2) 3 pontos 3) 3 pontos 1. Montagem/Desmontagem

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 26/junho/2013 1. (4 pontos) Assumindo uma frequência de relógio de 500 MHz para a organização MIPS multiciclo

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 12/junho/2013 Para realizar a prova, refiram-se às propostas organização MIPS monociclo e multiciclo vistas em aula.

Leia mais

Implementação Multi-Ciclo

Implementação Multi-Ciclo Implementação Multi-Ciclo Fernando Moraes 09/10/2006 Última alteração - Ney Calazans, 05/06/2012 Reg1 Reg2 Reg n-1 Reg n IMPLEMENTAÇÃO MULTI-CICLO Cada estágio realiza uma parte do trabalho Registradores

Leia mais

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans lui+ori syscall 1. .text 2. main: $s0, string 3.

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans lui+ori syscall 1. .text 2. main: $s0, string 3. Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 30/novembro/2012 1. (4 pontos) Assumindo uma frequência de relógio de 500 MHz para a organização MIPS multiciclo

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 27/junho/2014 1. [3 pontos] Dado o programa em linguagem de montagem do MIPS abaixo, gere código objeto

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans. Lista de associação de números e mnemônicos para os registradores do MIPS

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans. Lista de associação de números e mnemônicos para os registradores do MIPS Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 15/abril/2016 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 19/abril/2013 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES RQUITETUR DE OMPUTDORES º Sére de Problemas Undade de Processamento. s saídas dos regstos R, R, R, R estão lgadas através de multplexers 4-para- à entrada de um quto regsto R4. Os regstos tem oto bts.

Leia mais

1: 2: 2, [1] [2] 0x x3c011001

1: 2: 2, [1] [2] 0x x3c011001 Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 13/setembro/2013 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Guia Rápido MIPS. Tipos de Dados e Formatações

Guia Rápido MIPS. Tipos de Dados e Formatações Tipos de Dados e Formatações Guia Rápido MIPS Tipos de Dados: Todas as instruções são de 32 bits Byte = 8 bits Halfword = 2 bytes Word = 4 bytes Um caractere ocupa 1 byte na memória Um inteiro ocupa 1

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 04: ASPECTO BÁSICO DO PROJETO DE UMA CPU SIMPLES E LINGUAGEM DE MONTAGEM Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 6/junho/212 Para realizar a prova, refiram-se as propostas organização MIPS monociclo e multiciclo vistas em aula.

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 17/abril/2018 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

[1] $2,$0,$3 105 [2] 0x004000e8

[1] $2,$0,$3 105 [2] 0x004000e8 Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 14/setembro/2017 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

ção de Computadores II

ção de Computadores II Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores II Aula 2 2. MIPS monociclo:

Leia mais

24/05/2018. Incrementar/Decrementar Laços de Repetição. Professor Ariel da Silva Dias Incrementar / Decrementar / Laço de Repetição

24/05/2018. Incrementar/Decrementar Laços de Repetição. Professor Ariel da Silva Dias Incrementar / Decrementar / Laço de Repetição Incrementar/Decrementar Laços de Repetção Professor Arel da Slva Das Incrementar / Decrementar / Laço de Repetção 1 Quando fazemos: Quando fazemos: nt a = 1; nt b = 2; Dzemos que: a varável a vale 1 e

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores MEE Mestrado Integrado em Engenhara Electrótecnca e de omputadores rqutectura de omputadores 5-6 rqutetura de omputadores º Sére de Problemas Undade de Processamento. s saídas dos regstos R, R, R, R estão

Leia mais

Sistemas Processadores e Periféricos Aula 2 - Revisão

Sistemas Processadores e Periféricos Aula 2 - Revisão Sistemas Processadores e Periféricos Aula 2 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 18/abril/2012 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

SÉRIE DE PROBLEMAS: CIRCUITOS DE ARITMÉTICA BINÁRIA. CIRCUITOS ITERATIVOS.

SÉRIE DE PROBLEMAS: CIRCUITOS DE ARITMÉTICA BINÁRIA. CIRCUITOS ITERATIVOS. I 1. Demonstre que o crcuto da Fg. 1 é um half-adder (semsomador), em que A e B são os bts que se pretendem somar, S é o bt soma e C out é o bt de transporte (carry out). Fg. 1 2. (Taub_5.4-1) O full-adder

Leia mais

1. A pastilha do processador Intel possui uma memória cache única para dados e instruções. Esse processador tem capacidade de 8 Kbytes e é

1. A pastilha do processador Intel possui uma memória cache única para dados e instruções. Esse processador tem capacidade de 8 Kbytes e é 1. A pastilha do processador Intel 80486 possui uma memória cache única para dados e instruções. Esse processador tem capacidade de 8 Kbytes e é organizado com mapeamento associativo por conjuntos de quatro

Leia mais

Relembrando desempenho...

Relembrando desempenho... Parte 5 OBS: Essas anotações são adaptações do material suplementar (apresentações PPT) ao Livro do Hennessy e Patterson, 2ª e 3ª Ed. e do Livro do 5ª Ed. A parte final do material corresponde às aulas

Leia mais

Processador. Processador

Processador. Processador Departamento de Ciência da Computação - UFF Processador Processador Prof. Prof.Marcos MarcosGuerine Guerine mguerine@ic.uff.br mguerine@ic.uff.br 1 Processador Organização básica de um computador: 2 Processador

Leia mais

Infraestrutura de Hardware. Instruindo um Computador

Infraestrutura de Hardware. Instruindo um Computador Infraestrutura de Hardware Instruindo um Computador Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço Operando ALU Temp Datapath

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Universidade Federal de Santa Catarina Centro Tecnológico Curso de Pós-Graduação em Ciência da Computação Aula 2 Arquitetura do Processador MIPS: características gerais, registradores, formatos de instrução,

Leia mais

Notas Processos estocásticos. Nestor Caticha 23 de abril de 2012

Notas Processos estocásticos. Nestor Caticha 23 de abril de 2012 Notas Processos estocástcos Nestor Catcha 23 de abrl de 2012 notas processos estocástcos 2 O Teorema de Perron Frobenus para matrzes de Markov Consdere um processo estocástco representado por um conunto

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Relembrando desempenho...

Relembrando desempenho... Parte 5 OBS: Essas anotações são adaptações do material suplementar (apresentações PPT) ao Livro do Hennessy e Patterson, 2ª e 3ª Ed. e do Livro do 5ª Ed. A parte final do material corresponde às aulas

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Datapath do MIPS MIPS Implementação Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Instruções de leitura (load lw) e de escrita (store sw) Instruções aritméticas e lógicas

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Organização e Arquitetura Básicas

Leia mais

Escreva um programa em código assembly correspondente ao seguinte programa C.

Escreva um programa em código assembly correspondente ao seguinte programa C. Exercício 11.1 Escreva um programa em código assembly correspondente ao seguinte programa C. cont=0; for (n=0; n

Leia mais

Arquitectura de Computadores ARQC MIPS. Serviços de Sistemas Exemplos. Serviços de Sistema

Arquitectura de Computadores ARQC MIPS. Serviços de Sistemas Exemplos. Serviços de Sistema Arquitectura de Computadores ARQC MIPS Serviços de Sistemas Exemplos Serviços de Sistema Exemplo 1 Somar duas variáveis veis em um registrador register int a=1, b=3, c; c = a + b; (usar os códigos c de

Leia mais

Conjunto de Instruções. Prof. Leonardo Barreto Campos 1

Conjunto de Instruções. Prof. Leonardo Barreto Campos 1 Conjunto de Instruções Prof. Leonardo Barreto Campos 1 Sumário Introdução; CISC; RISC; MIPS; Representação de Instruções; SPIM; Prof. Leonardo Barreto Campos 2/58 Sumário Operações Lógicas; Instruções

Leia mais

Questionário Arquitetura e Organização de Computadores

Questionário Arquitetura e Organização de Computadores Questionário Arquitetura e Organização de Computadores Os exercícios desta lista estão organizados em geral seguindo a apresentação do conteúdo em aula. No entanto, alguns exercícios podem requerem conhecimentos

Leia mais

MODOS DE ENDEREÇAMENTO

MODOS DE ENDEREÇAMENTO UNINGÁ UNIDADE DE ENSINO SUPERIOR INGÁ FACULDADE INGÁ DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO ERINALDO SANCHES NASCIMENTO MODOS DE ENDEREÇAMENTO MARINGÁ 2014 SUMÁRIO 6 MODOS DE ENDEREÇAMENTO...2 6.1 ENDEREÇAMENTO

Leia mais

Arquitetura de Computadores. Ciclo de Busca e Execução

Arquitetura de Computadores. Ciclo de Busca e Execução Arquitetura de Computadores Ciclo de Busca e Execução Ciclo de Busca e Execução Início Buscar a próxima instrução Interpretar a instrução Executar a instrução Término Funções realizadas pela UCP Funções

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES Prof. Juliana Santiago Teixeira julianasteixeira@hotmail.com INTRODUÇÃO INTRODUÇÃO O processador é o componente vital do sistema de computação, responsável

Leia mais

2 Metodologia de Medição de Riscos para Projetos

2 Metodologia de Medição de Riscos para Projetos 2 Metodologa de Medção de Rscos para Projetos Neste capítulo remos aplcar os concetos apresentados na seção 1.1 ao ambente de projetos. Um projeto, por defnção, é um empreendmento com metas de prazo, margem

Leia mais

Organização de Computadores μarquitetura. Na Aula Anterior... Introdução. Nesta Aula. MIPS-Monociclo. Formas de Organização 17/10/2016

Organização de Computadores μarquitetura. Na Aula Anterior... Introdução. Nesta Aula. MIPS-Monociclo. Formas de Organização 17/10/2016 GBC06 Arq. e Org. de Computadores I 17/10/2016 Organização de Computadores μarquitetura Universidade Federal de Uberlândia Faculdade de Computação Prof. Dr. rer. nat. Daniel D. Abdala Na Aula Anterior...

Leia mais

ELE0317 Eletrônica Digital II

ELE0317 Eletrônica Digital II 2. ELEMENTOS DE MEMÓRIA 2.1. A Lnha de Retardo A lnha de retardo é o elemento mas smples de memóra. Sua capacdade de armazenamento é devda ao fato de que o snal leva um certo tempo fnto e não nulo para

Leia mais

Unidade Central de Processamento UCP (CPU)

Unidade Central de Processamento UCP (CPU) Unidade Central de Processamento UCP (CPU)! Arquitetura Convencional (Von Neumann) UCP BARRAMENTO MEMÓRIA PRINCIPAL ENTRADA E SAÍDA ! Visão geral da CPU UC - UNIDADE DE CONTROLE REGISTRADORES A B C D ALU

Leia mais

SSC0114 Arquitetura de Computadores

SSC0114 Arquitetura de Computadores SSC0114 Arquitetura de Computadores 3ª Aula Arquitetura MIPS: ISA, Formato das instruções e Modos de endereçamento MIPS Monociclo: Caminho de Dados e Unidade de Controle Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br

Leia mais

SSC510 Arquitetura de Computadores 1ª AULA

SSC510 Arquitetura de Computadores 1ª AULA SSC510 Arquitetura de Computadores 1ª AULA REVISÃO DE ORGANIZAÇÃO DE COMPUTADORES Arquitetura X Organização Arquitetura - Atributos de um Sistema Computacional como visto pelo programador, isto é a estrutura

Leia mais

3 Algoritmos propostos

3 Algoritmos propostos Algortmos propostos 3 Algortmos propostos Nesse trabalho foram desenvolvdos dos algortmos que permtem classfcar documentos em categoras de forma automátca, com trenamento feto por usuáros Tas algortmos

Leia mais

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE Introdução Uma implementação MIPS básica Sinopse da implementação Sinais de controle Multiplexadores (muxes) Implementação monociclo Metodologia de clocking Construindo

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA III Março 2014 Índice Instruction Set Revisões Procedimentos Stack Comunicar com pessoas ASCII Programar em MIPS estrutura, input e output Programar em MIPS

Leia mais

Organização de Unidades de Processamento

Organização de Unidades de Processamento Organização de Unidades de Processamento João Canas Ferreira Março de 2004 Contém figuras de: Computer Organization & Design, D. A Patterson e J. L. Hennessy, 2 a ed. (cap. 5) c JCF, 2004 ASPD (FEUP/LEEC)

Leia mais

CONCEITOS INICIAIS DE ESTATÍSTICA MÓDULO 2 DISTRIBUIÇÃO DE FREQÜÊNCIA - ELEMENTOS Prof. Rogério Rodrigues

CONCEITOS INICIAIS DE ESTATÍSTICA MÓDULO 2 DISTRIBUIÇÃO DE FREQÜÊNCIA - ELEMENTOS Prof. Rogério Rodrigues CONCEITOS INICIAIS DE ESTATÍSTICA MÓDULO DISTRIBUIÇÃO DE FREQÜÊNCIA - ELEMENTOS Prof. Rogéro Rodrgues I) TABELA PRIMITIVA E DISTRIBUIÇÃO DE FREQÜÊNCIA : No processo de amostragem, a forma de regstro mas

Leia mais

TABELAS E GRÁFICOS PARA VARIÁVEIS ALEATÓRIAS QUANTITATIVAS CONTÍNUAS

TABELAS E GRÁFICOS PARA VARIÁVEIS ALEATÓRIAS QUANTITATIVAS CONTÍNUAS TABELAS E GRÁFICOS PARA VARIÁVEIS ALEATÓRIAS QUANTITATIVAS CONTÍNUAS Varável Qualquer característca assocada a uma população Classfcação de varáves Qualtatva { Nomnal sexo, cor dos olhos Ordnal Classe

Leia mais

7 - Distribuição de Freqüências

7 - Distribuição de Freqüências 7 - Dstrbução de Freqüêncas 7.1 Introdução Em mutas áreas há uma grande quantdade de nformações numércas que precsam ser dvulgadas de forma resumda. O método mas comum de resumr estes dados numércos consste

Leia mais

ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA VII

ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA VII ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA VII Índice Endereçamento MIPS: 32-bits imediatos; Endereços em branches e jumps; Modos de endereçamento; MARS Exercício 5: Fibonnaci Problema Apesar de instruções

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Linguagem de Montagem Slide 1 Operações Lógicas Embora os primeiros computadores se concentrassem em words completas, logo ficou claro que era útil atuar sobre

Leia mais

Projeto Cpu. Carlos O. Cunha Filho César H. Kallas

Projeto Cpu. Carlos O. Cunha Filho César H. Kallas Projeto Cpu Carlos O. Cunha Filho César H. Kallas O Centro de Ciências Exatas, Ambientais e de Tecnologias Pontifícia Universidade Católica de Campinas Campinas Brasil Faculdade de Engenharia de Computação

Leia mais

6 Modelo Proposto Introdução

6 Modelo Proposto Introdução 6 Modelo Proposto 6.1. Introdução Neste capítulo serão apresentados detalhes do modelo proposto nesta dssertação de mestrado, onde será utlzado um modelo híbrdo para se obter prevsão de carga curto prazo

Leia mais

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR Introdução Instruções são representadas em linguagem de máquina (binário) E x i s t e m l i n g u a g e n

Leia mais

http://www.ic.uff.br/~boeres/fac.html! Conteúdos: Debora, Edcarllos, livros! slides disponíveis!

http://www.ic.uff.br/~boeres/fac.html! Conteúdos: Debora, Edcarllos, livros! slides disponíveis! http://www.ic.uff.br/~boeres/fac.html! 1 Conteúdos: Debora, Edcarllos, livros! slides disponíveis! Unidade central de Processamento realiza operações básicas codificadas em 0s e 1s instrução contém código

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização.

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização. UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Sistemas de Computação 2016.2 Profa.: Simone Martins Lista 1 1. Um programa P foi compilado com um compilador

Leia mais

Operações Aritméticas e Lógicas e Avaliação de Condições

Operações Aritméticas e Lógicas e Avaliação de Condições 3 Operações Aritméticas e Lógicas e Avaliação de Condições Arithmetic is where the answer is right and everything is nice and you can look out of the window and see the blue sky - or the answer is wrong

Leia mais

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples Infraestrutura de Hardware Implementação Monociclo de um Processador Simples Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço

Leia mais

Curso FFI Microprocessadores I

Curso FFI Microprocessadores I Curso FFI0396 - Microprocessadores I 2010 Lista 1 (10/08/2010) 1. Calcule as seguintes conversões: 943 10 em base 2, 8 e 16, 11001111 2 em base 8, 10 e 16, 7642 8 em base 2 e 10, D57A4 16 em base 2 e 10.

Leia mais

RAD1507 Estatística Aplicada à Administração I Prof. Dr. Evandro Marcos Saidel Ribeiro

RAD1507 Estatística Aplicada à Administração I Prof. Dr. Evandro Marcos Saidel Ribeiro UNIVERIDADE DE ÃO PAULO FACULDADE DE ECONOMIA, ADMINITRAÇÃO E CONTABILIDADE DE RIBEIRÃO PRETO DEPARTAMENTO DE ADMINITRAÇÃO RAD1507 Estatístca Aplcada à Admnstração I Prof. Dr. Evandro Marcos adel Rbero

Leia mais

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização. Resposta:

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização. Resposta: UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Sistemas de Computação 2016.2 Profa.: Simone Martins Lista 1 - Gabarito 1. Um programa P foi compilado com

Leia mais

Especificação do Projeto de Processador RISC

Especificação do Projeto de Processador RISC UNIVERSIDADE FEDERAL DO VALE DO SÃO FRANCISCO Especificação do Projeto de Processador RISC mycpu Neste documento é apresentada a especificação do projeto da disciplina Organização e Arquitetura de Computadores

Leia mais

AOC II - Arquitetura e Organização de Computadores Prof. Dr. rer. nat. Daniel Duarte Abdala. Lista de Exercícios

AOC II - Arquitetura e Organização de Computadores Prof. Dr. rer. nat. Daniel Duarte Abdala. Lista de Exercícios Lista de Exercícios PIPELINING 1. Qual a vantagem que a utilização da técnica de pipelining traz em relação a arquitetura MIPS-Multiciclo estudada em aula? Descreva textualmente. 2. Embora o desempenho

Leia mais

AULA 03: FUNCIONAMENTO DE UM COMPUTADOR

AULA 03: FUNCIONAMENTO DE UM COMPUTADOR ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 03: FUNCIONAMENTO DE UM COMPUTADOR Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação O QUE É UM COMPUTADOR?

Leia mais

Microcontroladores e Interfaces

Microcontroladores e Interfaces Microcontroladores e Interfaces 3º Ano Eng. Electrónica Industrial Carlos A. Silva 2º Semestre de 2005/2006 http://www.dei.uminho.pt/lic/mint Aula A3 15 Mar 05 - M Datapath e a sua Unidade de Controlo

Leia mais

FICHA de AVALIAÇÃO de MATEMÁTICA A 10.º Ano Versão 4

FICHA de AVALIAÇÃO de MATEMÁTICA A 10.º Ano Versão 4 FICHA de AVALIAÇÃO de MATEMÁTICA A 10.º Ano Versão 4 Nome Nº Turma: Data: / / Professor 10.º Ano Classfcação Apresente o seu racocíno de forma clara, ndcando todos os cálculos que tver de efetuar e todas

Leia mais

Sumário. Aula Anterior. Exercício 7.1 Contagem de bits a 1 numa palavra Exercício 7.2 Deslocamento de n bits num operando de 64 bits.

Sumário. Aula Anterior. Exercício 7.1 Contagem de bits a 1 numa palavra Exercício 7.2 Deslocamento de n bits num operando de 64 bits. Sumário Aula Anterior Exercício 7.1 Contagem de bits a 1 numa palavra Exercício 7.2 Deslocamento de n bits num operando de 64 bits Aula 12 1 Sumário Nesta Aula Esclarecimento de dúvidas para o Exame Resolução

Leia mais

Arquitetura de Computadores. Prof. João Bosco Jr.

Arquitetura de Computadores. Prof. João Bosco Jr. Arquitetura de Computadores Prof. João Bosco Jr. Aula 4 (nivel ISA Arquitetura PIC) Características do Controlador 16F628A 18 Pinos Até 20 Mhz (5M instruções por segundo) PortA e PortB 10 Interrupções

Leia mais

Arquitetura de Computadores Aula 10 - Processadores

Arquitetura de Computadores Aula 10 - Processadores Arquitetura de Computadores Aula 10 - Processadores Prof. Dr. Eng. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/21 TÓPICOS ORGANIZAÇÃO COMPONENTES BÁSICOS INSTRUÇÃO DE MÁQUINA CICLO DE INSTRUÇÃO

Leia mais

O Processador: Via de Dados e Controle

O Processador: Via de Dados e Controle O Processador: Via de Dados e Controle Ch5A Via de Dados e Controle Implementação da arquitetura MIPS Visão simplificada de uma arquitetura monociclo Instruções de memória: lw, sw Instruções lógicas aritméticas:

Leia mais

Arquitetura de Computadores. Linguagem de Máquina

Arquitetura de Computadores. Linguagem de Máquina Arquitetura de Computadores Linguagem de Máquina Ivan Saraiva Silva Formato de Instrução MAC O MIC possui dois formatos de instrução: 4 bits 12 bits Formato 1 CODOP ENDEREÇO 8 bits 8 bits Formato 2 CODOP

Leia mais