Número de Linha. Código objeto

Tamanho: px
Começar a partir da página:

Download "Número de Linha. Código objeto"

Transcrição

1 Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 25/novembro/ [3 pontos] Dado o trecho de programa em linguagem de montagem do MIPS abaixo, gere código objeto para as linhas 5, 6 e 9 dele. Come computando os endereços iniciais das instruções nestas linhas e anotando na coluna correspondente (quarta coluna da tabela). Note que o endereço inicial da linha 1 em hexadecimal é 0x Cuidado com os pressupostos de geração de código para deslocamentos em cada instrução. Número de Linha Rótulo Instrução Endereço inicial do código objeto 1 la $s2,v3 0x la $t2,comuns 3 lw $t2,0($t2) 4 loop3: blez $t2,endl3 5 lw $t1,0($s2) 6 beq $t0,$t1, flag 7 addiu $s2,$s2, 4 8 addiu $t2,$t2, -1 9 j loop3 10 flag: li $t9,0 Código objeto 2. (3,0 pontos) Verdadeiro ou Falso. Abaixo aparem 10 afirmativas. Marque com V as afirmativas verdadeiras e com F as falsas. Se não souber a resposta correta, deixe em branco, pois cada resposta correta vale 0,3 pontos, mas cada resposta incorreta desconta 0,2 pontos do total positivo de pontos. Não é possível que a questão produza uma nota menor do que 0 pontos. a) ( ) O prossador AT32UC3A0512 da Atmel é o mais poderoso da família de microcontroladores AVR de 32 bits. Ele possui 512 Kbytes de memória flash e 64 Kbytes de M, ambas endereçadas a byte. Logo estas memórias nessitam respectivamente de barramentos de endereços de 20 e 17 bits. b) ( ) O modo de endereçamento relativo, conforme usado no MIPS, obtém o operando de 32 bits (a somar com o registrador PC) acresntando 2 bits em 0 à direita dos bits 15 a 0 da instrução que o emprega, e agregando à esquerda 16 vezes o bit 15 da mesma instrução (extensão de sinal). c) ( ) As instruções do MIPS addi e addiu diferenciam-se por realizar somas com e sem sinal, respectivamente. A primeira usa extensão de sinal e a segunda extensão de zero para gerar o dado imediato da soma. d) ( ) Suponha que se executa a instrução xori $t0,$t0,0xffff. Assuma que antes de executar esta instrução, $t0 contém 0xAAADF003. Após executar a instrução, $t0 conterá 0xAAAD0FFC. e) ( ) O modo de endereçamento base-deslocamento no MIPS é usado em instruções de asso à memória e também em instruções aritméticas. f) ( ) O código objeto 0x corresponde a uma instrução blez que quando saltar, o faz nessariamente para uma linha do programa posterior à linha onde há o blez. g) ( ) A instrução lui $t1,0x5555 seguida da instrução ori $t1, $t1,0xaaaa, seguida da instrução xori $t1,$t1, 0xFFFF termina por deixar em $t1 uma palavra de 32 bits composta por 16 bits em 0 e 16 bits em 1, bits estes que se alternam: todo 0 é seguido e predido por um 1 e vi-versa. h) ( ) A memória do MIPS é endereçada a byte, e é possível escrever nela apenas dados de 8 ou 16 ou 32 bits usando uma única instrução do prossador. i) ( ) O texto de um artigo científico de 6 páginas é composto por caracteres ASCII. No MIPS, este texto ocuparia palavras em memória.

2 j) ( ) Se o código objeto 0x0C10003A estiver armazenado em memória a partir do endereço 0x , ele corresponde a um salto para subrotina e esta inicia nessariamente em uma posição de memória anterior à posição onde se encontra a instrução de salto. 3. (4,0 pontos) Considere a organização do bloco de dados multiciclo abaixo, que acomoda a execução de um subconjunto da arquitetura do conjunto de instruções do prossador MIPS. Em seguida, responda às questões que seguem a figura. dtpc M1 D rpc Q uins.wpc 4 + pc=i_address address de Instruções incpc RNPC instruction RIR ir=ir_out RIN RD M3 AdRs op1 R1 M6 R A AdRt RS REGS L M4 AdRd U op2 R2 R2 RT M7 wreg M ads add 0-extend s-extend s-extend* & IR[25:0] & 00 M2 uins.wreg M5 cte_im RIM IMED C o m p outalu salta LU uins.walu LU=d_address address de Dados uins. uins.bw M8 LU uins. mdr_int x & [7:0] RMDR uins.wmdr a) (1,0 pontos) Marcar no desenho acima e/ou descrever todos os caminhos do bloco de dados efetivamente usados pela instrução JALR Rd, Rs. Isto significa marcar e/ou descrever em texto todos os caminhos por onde passa informação útil relevante à execução da instrução, ou seja, os dados e/ou endereços que esta realmente nessita manipular. b) (1,0 pontos) Gere o código objeto da instrução JALR $gp, $s0. c) (1,0 pontos) Diga qual operação é executada pela unidade lógica-aritmética (ALU) no teriro ciclo de relógio de uma instrução JALR Rd, Rs, justificando sua resposta. d) (1,0 pontos) Para cada um dos sinais de controle diferente de, diga se e em que ciclo da instrução JALR $gp, $s0 ele é ativado e, caso se aplique, diga qual o seu valor em binário. MDR M9 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome Número (Decimal) Nome 0 $zero 16 $s0 1 $at 17 $s1 2 $v0 18 $s2 3 $v1 19 $s3 4 $a0 20 $s4 5 $a1 21 $s5 6 $a2 22 $s6 7 $a3 23 $s7 8 $t0 24 $t8 9 $t1 25 $t9 10 $t2 26 $k0 11 $t3 27 $k1 12 $t4 28 $gp 13 $t5 29 $sp 14 $t6 30 $fp 15 $t7 31 $ra

3 Prova P4/PS Aluno: Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans 25/novembro/2015 Gabarito 1. [3 pontos] Dado o trecho de programa em linguagem de montagem do MIPS abaixo, gere código objeto para as linhas 5, 6 e 7 dele. Come computando os endereços iniciais das instruções nestas linhas e anotando na coluna correspondente. Note que o endereço inicial da linha 1 em hexadecimal é 0x004000E8. Cuidado com os pressupostos de geração de código para deslocamentos em cada instrução. Número de Linha Rótulo Instrução Endereço inicial do código objeto Código objeto 1 la $s2,v3 0x la $t2,comuns 0x C 3 lw $t2,0($t2) 0x loop3: blez $t2,endl3 0x lw $t1,0($s2) 0x C 0x8E beq $t0,$t1, flag 0x x addiu $s2,$s2, 4 0x addiu $t2,$t2, -1 0x j loop3 0x C 0x A 10 flag: li $t9,0 0x Solução da Questão 1 a) (1,0 pontos) Linha 5: lw $t1, 0($s2). O formato da instrução lw é: lw rt, offset(rs) 0x23 rs rt offset Número de bits/campo: O código objeto é então: (0x23 em seis bits) concatenado com o endereço do Rs no banco ($s2=18 ou em binário), concatenado com o endereço do Rt no banco, ($t1=9), concatenado com o offset (0x0000). Juntando os 32 bits ( ) e traduzindo-os de 4 em 4 em valores hexadecimais, obtém-se 0x8E490000, no endereço da memória de instruções 0x C. b) (1,0 pontos) Linha 6: beq $t0,$t1, flag. O formato da instrução beq é: beq rs, rt, rótulo 4 rs rt offset Número de bits/campo: O código objeto é então: (4 em seis bits) concatenado com o endereço do Rs no banco ($t0=8 ou em binário), concatenado com o endereço do Rt no banco, ($t1=9), concatenado com o offset. Segundo a definição da arquitetura, este é o valor de 16 bits que corresponde à distância, em instruções, da linha abaixo do beq até a linha do rótulo flag ou seja, 3, ou em binário Juntando os 32 bits ( ) e traduzindo-os de 4 em 4 em valores hexadecimais, obtém-se 0x , no endereço da memória de instruções 0x c) (1,0 pontos) Linha 7: j loop3. O formato da instrução j é: j label 2 address Número de bits/campo: 6 26 O código objeto é então: (2 em seis bits) concatenado com o pseudo-endereço. Para obter este, parte-se do endereço de memória associado ao rótulo loop3 (0x ), e extrai-se os quatro bits mais significativos e os dois bits menos significativos. O que sobra são os 26 bits do que constituem o pseudo-endereço. Juntando estes 32 bits (

4 1010) e traduzindo-os de 4 em 4 em valores hexadecimais, obtém-se 0x A, no endereço da memória de instruções 0x C. Fim da Solução da Questão 1 2. (3,0 pontos) Verdadeiro ou Falso. Abaixo aparem 10 afirmativas. Marque com V as afirmativas verdadeiras e com F as falsas. Se não souber a resposta correta, deixe em branco, pois cada resposta correta vale 0,3 pontos, mas cada resposta incorreta desconta 0,2 pontos do total positivo de pontos. Não é possível que a questão produza uma nota menor do que 0 pontos. a) (F) O prossador AT32UC3A0512 da Atmel é o mais poderoso da família de microcontroladores AVR de 32 bits. Ele possui 512 Kbytes de memória flash e 64 Kbytes de M, ambas endereçadas a byte. Logo estas memórias nessitam respectivamente de barramentos de endereços de 20 e 17 bits. b) (F) O modo de endereçamento relativo, conforme usado no MIPS, obtém o operando de 32 bits (a somar com o registrador PC) acresntando 2 bits em 0 à direita dos bits 15 a 0 da instrução que o emprega, e agregando à esquerda 16 vezes o bit 15 da mesma instrução (extensão de sinal). c) (F) As instruções do MIPS addi e addiu diferenciam-se por realizar somas com e sem sinal, respectivamente. A primeira usa extensão de sinal e a segunda extensão de zero para gerar o dado imediato da soma. d) (V) Suponha que se executa a instrução xori $t0,$t0,0xffff. Assuma que antes de executar esta instrução, $t0 contém 0xAAADF003. Após executar a instrução, $t0 conterá 0xAAAD0FFC. e) (F) O modo de endereçamento base-deslocamento no MIPS é usado em instruções de asso à memória e também em instruções aritméticas. f) (V) O código objeto 0x corresponde a uma instrução blez que quando saltar, o faz nessariamente para uma linha do programa posterior à linha onde há o blez. g) (V) A instrução lui $t1,0x5555 seguida da instrução ori $t1, $t1,0xaaaa, seguida da instrução xori $t1,$t1, 0xFFFF termina por deixar em $t1 uma palavra de 32 bits composta por 16 bits em 0 e 16 bits em 1, bits estes que se alternam: todo 0 é seguido e predido por um 1 e vi-versa. h) (V) A memória do MIPS é endereçada a byte, e é possível escrever nela apenas dados de 8 ou 16 ou 32 bits usando uma única instrução do prossador. i) (V) O texto de um artigo científico de 6 páginas é composto por caracteres ASCII. No MIPS, este texto ocuparia palavras em memória. j) (F) Se o código objeto 0x0C10003A estiver armazenado em memória a partir do endereço 0x , ele corresponde a um salto para subrotina e esta inicia nessariamente em uma posição de memória anterior à posição onde se encontra a instrução de salto. Solução da Questão 2 a) (F) 512Kbytes e endereçamento a byte implicam a nessidade de log 2 (512K) linhas de endereço. Como 512=2 9, e 1K=2 10, o logaritmo de 512K é 19. O mesmo raciocínio conduz a computar log 2 (64K)=16. Logo a afirmativa é FALSA. b) (F) Ao aplicar o prodimento descrito neste item produz-se um valor de 34 bits e não 32 (bits bits de extensão). Logo a afirmativa só pode ser FALSA. c) (F) Há dois erros nesta questão. Primeiro, as instruções não fazem somas de forma diferenciada, pois a representação em complemento de 2 garante que soma com e sem sinal não operam de forma distinta. O segundo erro é que ambas usam extensão de sinal para gerar a constante de 32 bits. Logo a afirmativa é FALSA. d) (V) A instrução xori usa extensão de 0. Logo o valor a ser operado na ULA com o conteúdo do registrador $t0 é 0x0000FFFF. Ora, dada a natureza da operação lógica XOR, este valor vai manter os 16 bits mais significativos de $t0 inalterados ( xoreados com 0) e vai inverter os 16 bits menos significativos de $t0 ( xoreados com 1), o que produzirá em $t0 o novo valor, que será: 0xAAADF00C, pois 0x0 invertido dá 0xF, 0xF invertido dá 0x0 e 0x3 invertido dá 0xC. Logo a afirmativa é VERDADEI. e) (F) O modo base deslocamento somente é usado para instruções de asso à memória. Logo a afirmativa é FALSA. f) (V) Os seis primeiros bits de 0x são ou 6 em decimal, o que segundo a Tabela A.10.2 do Apêndi A identifica a instrução blez. Logo esta parte do item está rta.

5 Esta instrução usa modo de endereçamento relativo e o endereço de salto será o ado de adicionar o PC ao offset da instrução (bits 15-0 do código objeto) com sinal estendido e multiplicado por 4, para gerar um valor constante de 32 bits. Como os bits 15-0 da instrução são 0x0024, a extensão produzirá 0x , que multiplicado por 4 fica 0x Como este valor é positivo, somado aos valores normais do PC (em torno de 0x ) rtamente gera um endereço de memória maior que aquele contido no PC ao iniciar a execução da instrução. Logo o salto é para a frente, para linha do programa posterior à linha da instrução blez. Logo a afirmativa é VERDADEI. g) (V) lui gera em $t1 o valor 0x A ori deixa em $t1 0x5555AAAA. Finalmente o xori inverte os 16 bits menos significativos de $t1, gerando 0x , pois o inverso de 0xA (1010 em binário) é 0x5 (0101 em binário). O padrão de 8 valores 0x5 corresponde ao valor binário de 32 bits Logo a afirmativa é VERDADEI. h) (V) As instruções sb, sh e sw permitem escrever 1 byte, 2 bytes e 4 bytes na memória, respectivamente. Logo a afirmativa é VERDADEI. i) (V) Cada caractere ASCII ocupa exatamente 1 byte e no MIPS cada 4 bytes correspondem a uma palavra. Tomando o valor múltiplo de 4 mais próximo do tamanho do arquivo, têm-se , que dividido por 4 forne Logo a afirmativa é VERDADEI. j) (F) Os seis primeiros bits de 0x0C10003A são ou 3 em decimal, o que segundo a Tabela A.10.2 do Apêndi A identifica a instrução jal. Logo esta parte da afirmativa é correta, pois trata-se de um salto para subrotina. O endereço onde a subrotina inicia é obtido tomando-se os 26 bits menos significativos do código objeto acresntando-se dois bits em 0 à direita destes e colocando à esquerda deste os quatro bits mais significativos do valor do PC no momento da execução da instrução. O valor do PC no momento da execução será 0x , ou seja, 0x C. Assim o endereço da subrotina para onde se deve saltar é 0000 & & 00, ou seja 0x004000E8, que é posterior ao jal. Logo a afirmativa é FALSA. Fim da Solução da Questão 2 3. (4,0 pontos) Considere a organização do bloco de dados multiciclo abaixo, que acomoda a execução de um subconjunto da arquitetura do conjunto de instruções do prossador MIPS. Em seguida, responda às questões que seguem a figura. dtpc M1 D PC Q uins.wpc 4 + pc=i_address address de Instruções incpc RNPC instruction IR ir=ir_out RIN RD M3 AdRs op1 R1 M6 R1 D AdRt RS A REGS L M4 AdRd U op2 R2 R2 RT M7 wreg M d ads add 0-extend s-extend s-extend* & IR[25:0] & 00 M2 uins.wreg M5 cte_im IMED IMED C o m p outalu salta LU uins.walu LU=d_address address de Dados uins. uins.bw M8 LU uins. x & [7:0] mdr_int RMDR uins.wmdr a) (1,0 pontos) Marcar no desenho acima e/ou descrever todos os caminhos do bloco de dados efetivamente usados pela instrução JALR Rd, Rs. Isto significa marcar e/ou descrever em texto todos os caminhos por onde passa informação útil relevante à execução da instrução, ou seja, os dados e/ou endereços que esta realmente nessita manipular. b) (1,0 pontos) Gere o código objeto da instrução JALR $gp, $s0. MDR M9

6 c) (1,0 pontos) Diga qual operação é executada pela unidade lógica-aritmética (ALU) no teriro ciclo de relógio de uma instrução JALR Rd, Rs, justificando sua resposta. d) (1,0 pontos) Para cada um dos sinais de controle diferente de, diga se e em que ciclo da instrução JALR $gp, $s0 ele é ativado e, caso se aplique, diga qual o seu valor em binário. Solução da Questão 3 a) (1,0 pontos). Ver desenho. b) (1,0 pontos). JALR $gp, $s0 Formato R: seis campos de 6, 5, 5, 5, 5, 5 e 6 bits, com valores respectivos (em hexa): C 0 9. Isto convertido em binário dá: Em hexadecimal o código objeto fica: 0x0200E009. c) (1,0 pontos). A operação executada na ALU é passar o que está na entrada op1 para a saída da ALU outalu. Este é o valor do registrador Rs, que contém o endereço a ser carregado em LU, e que posteriormente (no próximo ciclo) será transferido para o PC (o endereço de início da subrotina para onde JALR salta). d) (1,0 pontos). Observando os sinais da palavra de microinstrução produzida no Bloco de Controle, nota-se que existem 11 sinais além do sinal. Os sinais, se eles são ou não ativados, e o valor binário deles quando ativados são: 1. - Ativado (em 1 ) no primeiro ciclo 2. - Ativado (em 1 ) no segundo ciclo 3. uins.walu - Ativado (em 1 ) no teriro ciclo 4. uins.wmdr - Não ativado (fica em 0 ) ao longo de toda a instrução 5. uins.wpc - Ativado (em 1 ) no quarto ciclo 6. uins.wreg - Ativado (em 1 ) no quarto ciclo 7. uins.whilo - Não ativado (fica em 0 ) ao longo de toda a instrução 8. uins. - Não ativado (fica em 0 ) ao longo de toda a instrução 9. - Irrelevante, mas não ativado (fica em 1 ) ao longo de toda a instrução 10. uins.bw - Irrelevante, mas não ativado (fica em 1 ) ao longo de toda a instrução 11. uins._md - Não ativado (fica em 0 ) ao longo de toda a instrução Fim da Solução da Questão 3 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome Número (Decimal) Nome 0 $zero 16 $s0 1 $at 17 $s1 2 $v0 18 $s2 3 $v1 19 $s3 4 $a0 20 $s4 5 $a1 21 $s5 6 $a2 22 $s6 7 $a3 23 $s7 8 $t0 24 $t8 9 $t1 25 $t9 10 $t2 26 $k0 11 $t3 27 $k1 12 $t4 28 $gp 13 $t5 29 $sp 14 $t6 30 $fp 15 $t7 31 $ra

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 27/junho/2014 1. [3 pontos] Dado o programa em linguagem de montagem do MIPS abaixo, gere código objeto

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 26/junho/2013 1. (4 pontos) Assumindo uma frequência de relógio de 500 MHz para a organização MIPS multiciclo

Leia mais

Prova G2 Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans .data 0x1E20FFF9 seis palavras da memória de programa

Prova G2 Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans .data 0x1E20FFF9 seis palavras da memória de programa Prova G2 Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 07/dezembro/2012 1. (3,0 pontos) Verdadeiro ou Falso. Abaixo aparem 10 afirmativas. Marque com V as afirmativas

Leia mais

66 [2] 0x x c

66 [2] 0x x c Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 16/setembro/2015 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans. Lista de associação de números e mnemônicos para os registradores do MIPS

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans. Lista de associação de números e mnemônicos para os registradores do MIPS Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 15/abril/2016 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Prova G2 isciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 5/julho/213 1. (2, pontos) Abaixo é dado um código em linguagem de montagem do MIPS. iga em uma frase o que

Leia mais

Dicas: Nas linhas 7 e 8 as constantes imediatas são especificadas como caracteres ASCIIE, o que é aceito pelo montador MARS.

Dicas: Nas linhas 7 e 8 as constantes imediatas são especificadas como caracteres ASCIIE, o que é aceito pelo montador MARS. Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 15/setembro/2016 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans lui+ori syscall 1. .text 2. main: $s0, string 3.

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans lui+ori syscall 1. .text 2. main: $s0, string 3. Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans Aluno: 30/novembro/2012 1. (4 pontos) Assumindo uma frequência de relógio de 500 MHz para a organização MIPS multiciclo

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 18/abril/2012 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

1: 2: 2, [1] [2] 0x x001f8021 $16,$0,$31 13 [3] 0x x

1: 2: 2, [1] [2] 0x x001f8021 $16,$0,$31 13 [3] 0x x Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 14/setembro/2012 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

datapath adrt adrd uins.i ext_sinal ext_0

datapath adrt adrd uins.i ext_sinal ext_0 Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 9/novembro/212 Para realizar a prova, refiram-se as propostas organização MIPS monociclo e multiciclo vistas em aula.

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 12/junho/2013 Para realizar a prova, refiram-se às propostas organização MIPS monociclo e multiciclo vistas em aula.

Leia mais

[1] $2,$0,$3 105 [2] 0x004000e8

[1] $2,$0,$3 105 [2] 0x004000e8 Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 14/setembro/2017 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

1: 2: 2, [1] [2] 0x x3c011001

1: 2: 2, [1] [2] 0x x3c011001 Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 13/setembro/2013 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 19/abril/2013 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

1. uins.ce <= '1' when i=sw or i=lw else '0'; 2. uins.rw <= '0' when i=sw else '1'; 3. uins.wreg <= '0' when i=sw else '1';

1. uins.ce <= '1' when i=sw or i=lw else '0'; 2. uins.rw <= '0' when i=sw else '1'; 3. uins.wreg <= '0' when i=sw else '1'; Prova P2 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 4/novembro/215 Para realizar a prova, refira-se à proposta de organização MIPS monociclo vista em aula. O desenho

Leia mais

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 22/abril/2009

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 22/abril/2009 Prova P1 Aluno Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 22/abril/2009 Valor das questões: 1) 3 pontos 2) 3 pontos 3) 4 pontos 1. O fragmento de

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 isciplina: Organização Computadores Professor: Ney aert Vilar Calazans luno: 6/junho/212 Para realizar a prova, refiram-se as propostas organização MIPS monociclo e multiciclo vistas em aula.

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 04: ASPECTO BÁSICO DO PROJETO DE UMA CPU SIMPLES E LINGUAGEM DE MONTAGEM Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P1 Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Aluno: 17/abril/2018 Lista de associação de números e mnemônicos para os registradores do MIPS Número (Decimal) Nome

Leia mais

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 07/outubro/2009

Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 07/outubro/2009 Prova P1 Aluno Disciplina: Organização e Arquitetura de Computadores II Professores: Fabiano Hessel e Ney Calazans 07/outubro/2009 Valor das questões: 1) 4 pontos 2) 3 pontos 3) 3 pontos 1. Montagem/Desmontagem

Leia mais

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans

Disciplina: Organização de Computadores Professor: Ney Laert Vilar Calazans Prova P2 Disciplina: Organização Computadores Professor: Ney Laert Vilar Calazans Aluno: 16/junho/2017 Para realizar a prova, refira-se à proposta organização MIPS monociclo vista em aula. O diagrama blocos

Leia mais

SSC0114 Arquitetura de Computadores

SSC0114 Arquitetura de Computadores SSC0114 Arquitetura de Computadores 3ª Aula Arquitetura MIPS: ISA, Formato das instruções e Modos de endereçamento MIPS Monociclo: Caminho de Dados e Unidade de Controle Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br

Leia mais

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR Introdução Instruções são representadas em linguagem de máquina (binário) E x i s t e m l i n g u a g e n

Leia mais

SSC0611 Arquitetura de Computadores

SSC0611 Arquitetura de Computadores SSC0611 Arquitetura de Computadores 2ª e 3ª Aulas Arquitetura MIPS: ISA, Formato das instruções e Modos de endereçamento Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br 1 Arquitetura MIPS MIPS: Microprocessor

Leia mais

Especificação do Projeto de Processador RISC

Especificação do Projeto de Processador RISC UNIVERSIDADE FEDERAL DO VALE DO SÃO FRANCISCO Especificação do Projeto de Processador RISC mycpu Neste documento é apresentada a especificação do projeto da disciplina Organização e Arquitetura de Computadores

Leia mais

Sistemas de Computação. Instruções de Linguagem de Máquina

Sistemas de Computação. Instruções de Linguagem de Máquina Instruções de Linguagem de Máquina Linguagem de montagem do processador MIPS Operações aritméticas Instrução Exemplo Significado soma add a, b, c a = b + c subtração sub a, b, c a = b - c Compilação de

Leia mais

Implementação de um Subconjunto Multi-Ciclo do Processador MIPS

Implementação de um Subconjunto Multi-Ciclo do Processador MIPS Implementação de um Subconjunto Multi-Ciclo do Prossador MIPS Fernando Moraes 09/10/2006 Última alteração - Ney Calazans, 23/11/2016 1 Reg1 Reg2 Reg n-1 Reg n ESCRIÇÃO RTL de um HW MULTI-CICLO Cada estágio

Leia mais

instruction uins.i add AdWP instruction Memória de ext_sinal ext_0

instruction uins.i add AdWP instruction Memória de ext_sinal ext_0 Prova P2 Disciplina: Organização Computadores Professor: Ney Laert Vilar Calazans Aluno: 18/novembro/2016 Para realizar a prova, refira-se à proposta organização MIPS monociclo vista em aula. O diagrama

Leia mais

Relembrando desempenho...

Relembrando desempenho... Parte 5 OBS: Essas anotações são adaptações do material suplementar (apresentações PPT) ao Livro do Hennessy e Patterson, 2ª e 3ª Ed. e do Livro do 5ª Ed. A parte final do material corresponde às aulas

Leia mais

Sistemas de Computação Gabarito - Lista 2

Sistemas de Computação Gabarito - Lista 2 Sistemas de Computação 2005.2 Gabarito - Lista 2 1. a) Resposta: CPI=0,5 X 7 + 0,3 X 4 + 0,15 x 6 + 0,05 X 3=3,5 + 1,2 + 0,9 + 0,15=5,75 Tempo de execução=5,75 X 500 X 1.000.000 x 10-9 = 28,75 x 10-1 =2,875

Leia mais

Organização de Computadores

Organização de Computadores Organização do Processador - Parte A Capítulo 5 Patterson & Hennessy Prof. Fábio M. Costa Instituto de Informática Universidade Federal de Goiás Conteúdo Caminho de dados Caminho de controle Implementação

Leia mais

Relembrando desempenho...

Relembrando desempenho... Parte 5 OBS: Essas anotações são adaptações do material suplementar (apresentações PPT) ao Livro do Hennessy e Patterson, 2ª e 3ª Ed. e do Livro do 5ª Ed. A parte final do material corresponde às aulas

Leia mais

Operações de Controle de Fluxo e Acesso a Memória. Na Aula Anterior... Nesta Aula. Instruções de Controle de Fluxo. if then - else.

Operações de Controle de Fluxo e Acesso a Memória. Na Aula Anterior... Nesta Aula. Instruções de Controle de Fluxo. if then - else. GBC036 Arq. e Org. Computadores I Operações Controle Fluxo e Acesso a Memória Na Aula Anterior... Instruções aritméticas em Z; Formato e Codificação Instruções; Overflow e unrflow; Instruções aritméticas

Leia mais

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Datapath do MIPS MIPS Implementação Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Instruções de leitura (load lw) e de escrita (store sw) Instruções aritméticas e lógicas

Leia mais

Exercícios resolvidos (aula de 4 de Maio) Resolução:

Exercícios resolvidos (aula de 4 de Maio) Resolução: Exercícios resolvidos (aula de 4 de Maio) 1. Um microprocessador gera endereços de memória de 14 bits. Desenhe um mapa de memória dos seus endereços de memória fronteira especificados em hexadecimal. Uma

Leia mais

Sistemas Processadores e Periféricos Aula 2 - Revisão

Sistemas Processadores e Periféricos Aula 2 - Revisão Sistemas Processadores e Periféricos Aula 2 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

Guia Rápido MIPS. Tipos de Dados e Formatações

Guia Rápido MIPS. Tipos de Dados e Formatações Tipos de Dados e Formatações Guia Rápido MIPS Tipos de Dados: Todas as instruções são de 32 bits Byte = 8 bits Halfword = 2 bytes Word = 4 bytes Um caractere ocupa 1 byte na memória Um inteiro ocupa 1

Leia mais

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples

Infraestrutura de Hardware. Implementação Monociclo de um Processador Simples Infraestrutura de Hardware Implementação Monociclo de um Processador Simples Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço

Leia mais

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização. Resposta:

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização. Resposta: UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Sistemas de Computação 2016.2 Profa.: Simone Martins Lista 1 - Gabarito 1. Um programa P foi compilado com

Leia mais

Questionário Arquitetura e Organização de Computadores

Questionário Arquitetura e Organização de Computadores Questionário Arquitetura e Organização de Computadores Os exercícios desta lista estão organizados em geral seguindo a apresentação do conteúdo em aula. No entanto, alguns exercícios podem requerem conhecimentos

Leia mais

Registradores na Arquitetura MIPS. 29/4/2016 MIPS - Instruction Set Architecture

Registradores na Arquitetura MIPS. 29/4/2016 MIPS - Instruction Set Architecture Registradores na Arquitetura MIPS 29/4/2016 MIPS - Instruction Set Architecture 1 Mapa de Memória da Arq. MIPS 2 GB 2 GB 256 MB 64 KB 2 GB 64 KB 256 4 MB 4 MB 29/4/2016 MIPS - Instruction Set Architecture

Leia mais

Prof. Marcos Quinet Universidade Federal Fluminense UFF Pólo Universitário de Rio das Ostras - PURO

Prof. Marcos Quinet Universidade Federal Fluminense UFF Pólo Universitário de Rio das Ostras - PURO Linguagem de Montagem Prof. Marcos Quinet Universidade Federal Fluminense UFF Pólo Universitário de Rio das Ostras - PURO 1 Introdução Independente da linguagem de programação utilizada, todo programa

Leia mais

UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO

UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Sistemas de Computação 2016.2 Profa.: Simone Martins Lista 1 - Gabarito 1. Um programa P foi compilado com

Leia mais

Nível Máquina Formatos de Instruções

Nível Máquina Formatos de Instruções Nível Máquina Formatos de Instruções IA32 e MIPS AC1 11ª aula Formatos de Instruções 1 Stored Program Concept As instruções são números, armazenados em memória, que são descodificados pela Unidade de Controlo

Leia mais

Infraestrutura de Hardware. Instruindo um Computador

Infraestrutura de Hardware. Instruindo um Computador Infraestrutura de Hardware Instruindo um Computador Componentes de um Computador Unid. Controle Controle Memória Registradores PC MAR IR AC Programa + Dados Instrução Endereço Operando ALU Temp Datapath

Leia mais

Organização de Computadores μarquitetura. Na Aula Anterior... Introdução. Nesta Aula. MIPS-Monociclo. Formas de Organização 17/10/2016

Organização de Computadores μarquitetura. Na Aula Anterior... Introdução. Nesta Aula. MIPS-Monociclo. Formas de Organização 17/10/2016 GBC06 Arq. e Org. de Computadores I 17/10/2016 Organização de Computadores μarquitetura Universidade Federal de Uberlândia Faculdade de Computação Prof. Dr. rer. nat. Daniel D. Abdala Na Aula Anterior...

Leia mais

ção de Computadores II

ção de Computadores II Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores II Aula 2 2. MIPS monociclo:

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação QUAL É A INTERFACE ENTRE

Leia mais

Arquiteturas de Computadores

Arquiteturas de Computadores Arquiteturas de Computadores Implementação monociclo de IPS Fontes dos slides: Patterson & Hennessy book website (copyright organ Kaufmann) e Dr. Sumanta Guha Implementando IPS Implementação do conjunto

Leia mais

Conjunto de Instruções MIPS Parte I

Conjunto de Instruções MIPS Parte I Faculdade de Ciências Aplicadas e Sociais de Petrolina FACAPE Conjunto de Parte I Prof. Sérgio Adaptado dos slides de Sistemas Processadores do Prof. Frank Torres - UFMG O que aprenderemos hoje? Barramento

Leia mais

Infraestrutura de Hardware. Implementação Multiciclo de um Processador Simples

Infraestrutura de Hardware. Implementação Multiciclo de um Processador Simples Infraestrutura de Hardware Implementação Multiciclo de um Processador Simples Perguntas que Devem ser Respondidas ao Final do Curso Como um programa escrito em uma linguagem de alto nível é entendido e

Leia mais

Infraestrutura de Hardware. Instruindo um Computador Subrotinas, Tipos de Dados e Modos de Endereçamento

Infraestrutura de Hardware. Instruindo um Computador Subrotinas, Tipos de Dados e Modos de Endereçamento Infraestrutura de Hardware Instruindo um Computador Subrotinas, Tipos de Dados e Modos de Endereçamento Perguntas que Devem ser Respondidas ao Final do Curso Como um programa escrito em uma linguagem de

Leia mais

Sumário. Aula Anterior. Exercício 7.1 Contagem de bits a 1 numa palavra Exercício 7.2 Deslocamento de n bits num operando de 64 bits.

Sumário. Aula Anterior. Exercício 7.1 Contagem de bits a 1 numa palavra Exercício 7.2 Deslocamento de n bits num operando de 64 bits. Sumário Aula Anterior Exercício 7.1 Contagem de bits a 1 numa palavra Exercício 7.2 Deslocamento de n bits num operando de 64 bits Aula 12 1 Sumário Nesta Aula Esclarecimento de dúvidas para o Exame Resolução

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

Organização ou MicroArquitectura

Organização ou MicroArquitectura Organização ou MicroArquitectura DataPath MIPS32 AC Micro-Arquitectura: DataPath do MIPS Datapath e Controlpath Datapath circuito percorrido pelas instruções, endereços e ados IP Inst. Mem. Register File

Leia mais

CONJUNTO DE INSTRUÇÕES

CONJUNTO DE INSTRUÇÕES CONJUNTO DE INSTRUÇÕES 1 CARACTERÍSTICAS DE INSTRUÇÕES DE MÁQUINA Quando um programador usa uma linguagem de alto-nível, como C, muito pouco da arquitetura da máquina é visível. O usuário que deseja programar

Leia mais

Válido Rótulo Bloco bits 8 bits 8 bits 8 bits

Válido Rótulo Bloco bits 8 bits 8 bits 8 bits UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO TCC04.070-Organização de Computadores I Turma :A1 Gabarito - Lista 2 1. a) 256M-1=268435455 b) Log 2 256M=

Leia mais

4. Modelo de Programação do DLX Introdução

4. Modelo de Programação do DLX Introdução 4. Modelo de Programação do DLX Quero que o matemático Beremiz Samir nos conte uma lenda, ou uma simples fábula, na qual apareça uma divisão de 3 por 3 indicada, mas não efetuada, e outra de 3 por 2, indicada

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Organização e Arquitetura Básicas

Leia mais

CPU Implementação. Multiciclo. Prof. Carlos Bazilio

CPU Implementação. Multiciclo. Prof. Carlos Bazilio CPU Implementação Multiciclo Prof. Carlos Bazilio bazilio@ic.uff.br Até então, tínhamos t... Problemas com Implementação Monociclo Ciclo de clock tem o mesmo tamanho para todas as instruções implementadas;

Leia mais

Prof. Gustavo Oliveira Cavalcanti https://sites.google.com/a/poli.br/professorgustavooc/

Prof. Gustavo Oliveira Cavalcanti https://sites.google.com/a/poli.br/professorgustavooc/ Sistemas Digitais Prof. Gustavo Oliveira Cavalcanti gustavooc@poli.br https://sites.google.com/a/poli.br/professorgustavooc/ Conteúdo Programático (Organização e Arquitetura) Arquitetura e história dos

Leia mais

ção de Computadores I

ção de Computadores I Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores I Aula 2 1. Projeto da Arquitetura

Leia mais

ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA VII

ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA VII ARQUITECTURA DE COMPUTADORES CAPÍTULO II AULA VII Índice Endereçamento MIPS: 32-bits imediatos; Endereços em branches e jumps; Modos de endereçamento; MARS Exercício 5: Fibonnaci Problema Apesar de instruções

Leia mais

AULA 05: LINGUAGEM DE MONTAGEM: SUPORTE A PROCEDIMENTOS

AULA 05: LINGUAGEM DE MONTAGEM: SUPORTE A PROCEDIMENTOS ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I AULA 05: Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação O QUE SÃO PROCEDIMENTOS? Procedimentos são um conjunto

Leia mais

Faculdade de Computação

Faculdade de Computação Faculdade de Computação Arquitetura e Organização de Computadores 2 1 a Laboratório de Programação MIPS entrega 03/05/2018 Prof. Cláudio C. Rodrigues Problemas: P1) Execute as conversões abaixo: a) Converta

Leia mais

Conjunto de Instruções

Conjunto de Instruções Conjunto de Instruções IFBA Instituto Federal de Educ. Ciencia e Tec Bahia Curso de Analise e Desenvolvimento de Sistemas Arquitetura de Computadores 20 e 21/30 Prof. Msc. Antonio Carlos Souza Referências

Leia mais

Implementação da UCP. Construção do caminho de dados Controle Implementação monociclo. Organização de Computadores

Implementação da UCP. Construção do caminho de dados Controle Implementação monociclo. Organização de Computadores Implementação da UCP Construção do caminho de dados Controle Implementação monociclo Conceitos Gerais para Implementação do Processador Conceito de caminho de dados e controle Caminho dos bits de instrução

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Linguagem de Montagem Slide 1 CISC RISC MIPS Organização e Arquitetura de Computadores I Sumário Representação de instruções Slide 2 CISC O CISC (Complex Instruction

Leia mais

SSC510 Arquitetura de Computadores 1ª AULA

SSC510 Arquitetura de Computadores 1ª AULA SSC510 Arquitetura de Computadores 1ª AULA REVISÃO DE ORGANIZAÇÃO DE COMPUTADORES Arquitetura X Organização Arquitetura - Atributos de um Sistema Computacional como visto pelo programador, isto é a estrutura

Leia mais

Execução detalhada de instruções

Execução detalhada de instruções MIEI Sistemas de Computação 2015/16 Execução detalhada de instruções TPC4 + Guião Teatral Alberto José Proença ------------------------------------------------------------------------------------------------------------------------------------------------

Leia mais

Tiago Alves de Oliviera

Tiago Alves de Oliviera Tiago Alves de Oliviera 1 Capítulo 6 do Livro do Mario Monteiro Capítulo 5 (5.1, 5.2, 5. e 5.4) do Livro do Patterson 2 Processador executa instruções CPU (central processing unit) UCP (unidade central

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans MULTICICLO syscall space syscall addiu 1. .data 2.

Prova P4/PS Disciplina: Organização de Computadores-EC Professor: Ney Laert Vilar Calazans MULTICICLO syscall space syscall addiu 1. .data 2. Prova P4/PS Dscplna: Organzação de Computadores-EC Professor: Ney Laert Vlar Calazans Aluno: 30/novembro/2017 1. (3,5 pontos). Assumndo uma frequênca de relógo de 200 MHz para a organzação MIPS MULTICICLO

Leia mais

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização.

a) Calcule o valor médio de CPI apresentado na execução deste programa P, utilizando-se C1 sem e com otimização. UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Sistemas de Computação 2016.2 Profa.: Simone Martins Lista 1 1. Um programa P foi compilado com um compilador

Leia mais

Execução detalhada de instruções

Execução detalhada de instruções LEI Sistemas de Computação 2014/15 Execução detalhada de instruções TPC3 + Guião Teatral Alberto José Proença ------------------------------------------------------------------------------------------------------------------------------------------------

Leia mais

Disciplina: Arquitetura de Computadores

Disciplina: Arquitetura de Computadores Disciplina: Arquitetura de Computadores Estrutura e Funcionamento da CPU Prof a. Carla Katarina de Monteiro Marques UERN Introdução Responsável por: Processamento e execução de programas armazenados na

Leia mais

MODOS DE ENDEREÇAMENTO

MODOS DE ENDEREÇAMENTO UNINGÁ UNIDADE DE ENSINO SUPERIOR INGÁ FACULDADE INGÁ DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO ERINALDO SANCHES NASCIMENTO MODOS DE ENDEREÇAMENTO MARINGÁ 2014 SUMÁRIO 6 MODOS DE ENDEREÇAMENTO...2 6.1 ENDEREÇAMENTO

Leia mais

Operações Aritméticas e Lógicas e Avaliação de Condições

Operações Aritméticas e Lógicas e Avaliação de Condições 3 Operações Aritméticas e Lógicas e Avaliação de Condições Arithmetic is where the answer is right and everything is nice and you can look out of the window and see the blue sky - or the answer is wrong

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES Prof. Juliana Santiago Teixeira julianasteixeira@hotmail.com INTRODUÇÃO INTRODUÇÃO O processador é o componente vital do sistema de computação, responsável

Leia mais

UCP: Construindo um Caminho de Dados (Parte I)

UCP: Construindo um Caminho de Dados (Parte I) UCP: Construindo um Caminho de Dados (Parte I) Cristina Boeres Instituto de Computação (UFF) Fundamentos de Arquiteturas de Computadores Material baseado cedido pela Profa. Fernanda Passos Cristina Boeres

Leia mais

Unidade Central de Processamento UCP (CPU)

Unidade Central de Processamento UCP (CPU) Unidade Central de Processamento UCP (CPU)! Arquitetura Convencional (Von Neumann) UCP BARRAMENTO MEMÓRIA PRINCIPAL ENTRADA E SAÍDA ! Visão geral da CPU UC - UNIDADE DE CONTROLE REGISTRADORES A B C D ALU

Leia mais

Prof. Adilson Gonzaga

Prof. Adilson Gonzaga Microprocessadores são Máquinas de Estado Seqüenciais Síncronas que operam mediante a execução de uma seqüência de códigos binários armazenados em memória. Prof. Adilson Gonzaga 1 As ordens ou comandos

Leia mais

Arquitetura de Computadores Conceitos Fundamentais. Graduação em Engenharia Elétrica - UFPR Prof. Carlos Marcelo Pedroso 2016

Arquitetura de Computadores Conceitos Fundamentais. Graduação em Engenharia Elétrica - UFPR Prof. Carlos Marcelo Pedroso 2016 Arquitetura de Computadores Conceitos Fundamentais Graduação em Engenharia Elétrica - UFPR Prof. Carlos Marcelo Pedroso 2016 Um Computador Muito Simples CPU UC ULA A B PC IR FLAGS SP CS DS SS... 0A 09

Leia mais

Organização e Projetos de Computadores. Capítulo 2. Organização e Projetos de Computadores. Instruções

Organização e Projetos de Computadores. Capítulo 2. Organização e Projetos de Computadores. Instruções Capítulo 2 Hennessy Patterson 1 Instruções Linguagem da máquina Vamos trabalhar com a arquitetura do conjunto de instruções MIPS (Micrrocessor without interlocked pipeline stages Micrrocessador sem estágios

Leia mais

Expoente Mantissa Frac. UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO

Expoente Mantissa Frac. UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Sistemas de Computação 2016.2 Profa.: Simone Martins Lista 1 Data de entrega 16/09/2016 por e-mail (simone@ic.uff.br)

Leia mais

Conjunto de Instruções. Prof. Leonardo Barreto Campos 1

Conjunto de Instruções. Prof. Leonardo Barreto Campos 1 Conjunto de Instruções Prof. Leonardo Barreto Campos 1 Sumário Introdução; CISC; RISC; MIPS; Representação de Instruções; SPIM; Prof. Leonardo Barreto Campos 2/58 Sumário Operações Lógicas; Instruções

Leia mais

Introdução à Organização de Computadores. Aula 8

Introdução à Organização de Computadores. Aula 8 SEL-0415 Introdução à Organização de Computadores Set de Instruções Modelos de Arquiteturas Aula 8 Prof. Dr. Marcelo Andrade da Costa Vieira INSTRUÇÕES n Padrão de código binário armazenado em um dispositivo

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Conjunto de Instruções Slide 1 Sumário Características de Instruções de Máquina Tipos de Operandos Tipos de Operações Linguagem de Montagem Slide 2 Características

Leia mais

Sistemas Processadores e Periféricos Aula 1 - Revisão

Sistemas Processadores e Periféricos Aula 1 - Revisão Sistemas Processadores e Periféricos Aula 1 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Linguagem de Montagem Slide 1 Operações Lógicas Embora os primeiros computadores se concentrassem em words completas, logo ficou claro que era útil atuar sobre

Leia mais

Microprocessadores CPU. Unidade de Controle. Prof. Henrique

Microprocessadores CPU. Unidade de Controle. Prof. Henrique Microprocessadores CPU Unidade de Controle Prof. Henrique Roteiro Registradores; Unidade de Controle Níveis de Complexidade Introdução Um sistema microprocessado conta com diversos dispositivos para um

Leia mais

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE

CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE CAPÍTULO 4 CAMINHO DE DADOS E CONTROLE Introdução Uma implementação MIPS básica Sinopse da implementação Sinais de controle Multiplexadores (muxes) Implementação monociclo Metodologia de clocking Construindo

Leia mais

Arquitetura de Computadores. Ciclo de Busca e Execução

Arquitetura de Computadores. Ciclo de Busca e Execução Arquitetura de Computadores Ciclo de Busca e Execução Ciclo de Busca e Execução Início Buscar a próxima instrução Interpretar a instrução Executar a instrução Término Funções realizadas pela UCP Funções

Leia mais

Arquiteturas de Computadores. Princípios e exemplos de conjuntos de instruções

Arquiteturas de Computadores. Princípios e exemplos de conjuntos de instruções Arquiteturas de Computadores Princípios e exemplos de conjuntos de instruções Características das aplicações Computação de desktop Ênfase em desempenho de programas com tipos de dados inteiros e ponto-flutuante

Leia mais

Infra-estrutura de Hardware

Infra-estrutura de Hardware CPU: Estrutura e Funcionalidade Roteiro da Aula Ciclo de Instrução Projeto de uma CPU simples: conceitos Componentes básicos Leitura da instrução Operação entre registradores Acesso à memória Implementação

Leia mais

Conjunto de Instruções e Modelos de Arquiteturas

Conjunto de Instruções e Modelos de Arquiteturas Departamento de Engenharia Elétrica e de Computação EESC-USP SEL-0415 Introdução à Organização de Computadores Conjunto de Instruções e Modelos de Arquiteturas Aula 7 Prof. Marcelo Andrade da Costa Vieira

Leia mais

Procedimentos. Sistemas de Computação

Procedimentos. Sistemas de Computação Procedimentos Chamada de Procedimentos Utilização de procedimentos: Facilitar entendimento do programa Reutilização de código Passos necessários para execução de um procedimento: Os parâmetros a serem

Leia mais