UNIVERSIDADE FEDERAL DE ITAJUBÁ

Tamanho: px
Começar a partir da página:

Download "UNIVERSIDADE FEDERAL DE ITAJUBÁ"

Transcrição

1 UIVESIDADE FEDEA DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação ABOATÓIO DE EETÔIA DIGITA II ET041/ET611 Atividade de aboratório 7 Aluno: at.: Aluno: at.: Objetivos: Turma: Familiarizar o aluno com a descrição de máquinas de estados finitas (circuitos seqüenciais). Pré-laboratório (Para ser entregue ao início da aula prática) 1. Elabore a descrição de uma máquina de estados finitos para o diagrama de estados apresentado na seqüência. Atribua o nome aquina ao módulo descritivo desenvolvido. Espera Devolver1 S1 D Devolver2 D ibera1 S2 S3 Devolver3 D ibera2 ibera A máquina deve ser capaz de aceitar a inserção de moedas de uma UD e notas de duas UD até que a quantia acumulada, o saldo, alcance três UD. Os produtos a serem vendidos são refrigerantes, a duas UD cada, e lanches, a três UD cada. ada pedido de cancelamento deverá retornar apenas uma moeda, mesmo que a quantia inserida seja superior a uma UD. Se houver um saldo de duas UD, por exemplo, deverão ser geradas duas requisições de cancelamento para que ocorra a liberação do saldo por completo. 1

2 Quando um produto é requisitado havendo saldo suficiente, o mesmo deve ser liberado. Para o caso de haver um saldo superior ao valor do produto requisitado, o produto deve ser liberado em companhia ao respectivo troco. (50 pontos) ST K áquina 2 4 D S E Pino K ST D S E Função Sinal de sincronismo Sinal de reinício ota inserida oeda inserida Pedido de refrigerante Pedido de lanche iberar refrigerante iberar lanche Devolver moeda Valor atual na máquina Estado atual 2

3 Folha de espostas do Pré-laboratório 3

4 Atividade em aboratório aterial ecessário: Equipamentos: módulo de desenvolvimento DE0, DE1 ou DE2; Ambientes de desenvolvimento: Altera Quartus II versão 9 ou superior. Observações Importantes: uito cuidado ao manusear o módulo de desenvolvimento a fim de não danificar seus componentes; Ao operar o módulo de desenvolvimento, certifique-se de que o mesmo esteja devidamente conectado a sua fonte de alimentação e de que não haja qualquer elemento externo conectado a seus pinos de interface; Em caso de dúvida consulte sempre o professor. Procedimentos: 1. Programe, no módulo de desenvolvimento, a descrição obtida na atividade de prélaboratório. Então, a teste por meio da seqüência de ações apresentada na tabela de teste (folha de respostas) (50 pontos). Utilize a arquitetura apresentada a seguir para testar, no módulo de desenvolvimento, a descrição elaborada. * D D D D D EDS EDD EDP EDE * áquina S BD7seg D BD7seg BD7seg BD7seg ST K E módulo teste apa de pinos a ser utilizado: * Periféricos do módulo de ensino Pino Periférico Pino Periférico Pino Periférico Pino Periférico K KEY0 SW6 D ED7 EDS HEX3 ST SW9 SW5 D ED6 ED D HEX2 4

5 SW8 SW4 D ED5 EDP HEX1 SW7 D ED8 D ED4 EDE HEX0 Folha de espostas do aboratório (Para ser entregue ao final da aula prática) ET041/ET611 - ATIVIDADE DE ABOATÓIO 7 Aluno: at.: Aluno: at.: Passo Entradas Ação 1 Iniciar a máquina 2 Incluir uma moeda / gerar clock 3 Incluir uma moeda / gerar clock 4 equisitar um lanche / gerar clock 5 equisitar devolução / gerar clock 6 Gerar clock 7 Incluir uma nota / gerar clock 8 equisitar um lanche / gerar clock 9 Gerar clock 10 Incluir uma nota / gerar clock 11 Incluir uma moeda / gerar clock 12 equisitar um refrigerante / gerar clock 13 Gerar clock 14 Gerar clock 15 Incluir uma nota / gerar clock 16 equisitar devolução / gerar clock 17 Gerar um clock 18 Incluir uma nota / gerar clock 19 equisitar devolução / gerar clock 20 Gerar um clock 21 equisitar devolução / gerar clock 22 Gerar um clock 23 equisitar devolução / gerar clock 24 Gerar um clock 25 equisitar um refrigerante / gerar clock 26 equisitar um lanche / gerar clock EDS (saldo) EDD (devolução) esultado EDP (produto) EDE (estado) 5

6 Descrições auxiliares: Decodificador BD7seg module BD7seg( E, A, S ); input [3:0] E; // Sequência "ABD" input A; output [6:0] S; // Sequência "abcdefg" reg [6:0] S; // egistros * ) begin case ( E ) // Sequência "abcdefg" 4'h0: S = 7'b ; 4'h1: S = 7'b ; 4'h2: S = 7'b ; 4'h3: S = 7'b ; 4'h4: S = 7'b ; 4'h5: S = 7'b ; 4'h6: S = 7'b ; 4'h7: S = 7'b ; 4'h8: S = 7'b ; 4'h9: S = 7'b ; 4'hA: S = 7'b ; 4'hB: S = 7'b ; 4'h: S = 7'b ; 4'hD: S = 7'b ; 4'hE: S = 7'b ; 4'hF: S = 7'b ; default: S = 7'b ; endcase ódulo de teste module teste( K, ST,,,,,, D, D, D, D, D, EDS, EDD, EDP, EDE ); input K, ST,,,,, ; // Entradas output D, D, D, D, D; // Saídas output [6:0] EDS, EDD, EDP, EDE; // Saídas wire,, D; // Sinais internos wire [1:0] S; // Sinais internos wire [3:0] E; // Saídas // EDs de sinalização assign D = ; assign D = ; assign D = ; assign D = ; assign D = ; // Displays de 7 segmentos BD7seg disp1( {2'b00, S}, 1'b1, EDS ); BD7seg disp2( E, 1'b1, EDE ); aquina maq( K, ST,,,,,,,, D, S, E ); assign EDD = D? 7'b : 7'b ; assign EDP = {, } == 2'b01? 7'b : {, } == 2'b10? 7'b : 7'b ; endmodule if ( A == 1'b1 ) S = ~S; end endmodule 6

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica ELT6 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Máquinas de estados finitos Prof. Rodrigo de Paula Rodrigues ircuitos sequenciais Q Q Q Q

Leia mais

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Máquina de Estados Transições Estados Em Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (rad) O que é? É uma modelagem de um comportamento, composto por estados, transições

Leia mais

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino Máquinas de Estados Finitos Aula 19 Prof. Abel Guilhermino Definição Um sistema seqüencial deve ter a capacidade de capturar a influência de todas as entradas passadas sobre as saídas atuais e futuras.

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito

Leia mais

Lab Verilog Chaves, LEDs e Multiplexadores

Lab Verilog Chaves, LEDs e Multiplexadores MINISTÉRIO DA EDUCAÇÃO UTFPR UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ CAMPO MOURÃO Lab. 01 - Verilog Chaves, LEDs e Multiplexadores Projetos de Sistemas Integrados Prof. Roberto Ribeiro Neli Neste laboratório

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Operações aritméticas Prof. Rodrigo de Paula Rodrigues Valores lógicos possíveis 0 1 x z nível baixo, nível alto, nível

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 2. Material utilizado: Ambiente Eclipse 3. Introdução: 3.1

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 2 Emprego de elementos de memória: Contadores síncronos de Paula Rodrigues Contadores síncronos Contexto Flip-flops disparados

Leia mais

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento

Leia mais

Circuitos combinatórios. (Revisão)

Circuitos combinatórios. (Revisão) Circuitos combinatórios (Revisão) João Canas Ferreira Arquitectura de Computadores FEUP/MIEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a ed., MKP Tópicos Modelação

Leia mais

Tutorial Verilog 1ª Edição

Tutorial Verilog 1ª Edição UFPE Universidade Federal de Pernambuco CIn Centro de Informática Tutorial Verilog 1ª Edição 2011.1 Felipe de Assis Souza (fas5) INTRODUÇÃO Resolvi elaborar este documento após pagar a cadeira de Sistemas

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

VERILOG. Alex Vidigal Bastos

VERILOG. Alex Vidigal Bastos VERILOG Alex Vidigal Bastos Sumário Objetivos Introdução Hierarquia Estruturas de Modelagem Objetivos Identificar os modelos de componentes do Verilog, incluindo módulos, instâncias e portas; Correta sintaxe

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

Introdução às máquinas de estado finitas

Introdução às máquinas de estado finitas Introdução às máquinas de estado finitas João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and Design, D. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HL Inferência de elementos de memória Prof. Rodrigo de Paula Rodrigues Inferência de elementos de memória Forma direta J

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 11 Elementos de memória Parte 2/2 de Paula Rodrigues Elementos de memória Contexto Flip-flops (FFs) disparados por borda Versáteis

Leia mais

APRESENTAÇÃO DO KIT CPLD_EE01

APRESENTAÇÃO DO KIT CPLD_EE01 APRESENTAÇÃO DO KIT CPLD_EE01 O kit CPLD_EE01 foi desenvolvido para alunos de cursos técnicos, engenharia e desenvolvedores na área de circuitos digitais, o mesmo conta com alguns módulos que podem ser

Leia mais

Curso: Ciência da Computação Turma: 6ª Série. Teoria da Computação. Aula 5. Aplicação Prática de Autômatos Finitos

Curso: Ciência da Computação Turma: 6ª Série. Teoria da Computação. Aula 5. Aplicação Prática de Autômatos Finitos Curso: Ciência da Computação Turma: 6ª Série Aula 5 Aplicação Prática de Autômatos Finitos Avisos Temos aula na próxima segunda. Na outra temos revisão e na outro temos a avaliação. Somente 19 alunos/alunas

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

Capítulo 14. Expandindo as portas de comunicação 8031 com a PPI Interface PPI 8255

Capítulo 14. Expandindo as portas de comunicação 8031 com a PPI Interface PPI 8255 Capítulo 14 Expandindo as portas de comunicação 8031 com a PPI 8255 Como discutido ao longo do livro, o 8031 deixa 8 bits para controle genérico de dispositivos. Em situações nas quais se necessita um

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERIDDE FEDERL DE ITJUÁ Instituto de Engenharia de istemas e Tecnologia da Informação LORTÓRIO DE ELETRÔNIC DIGITL I ELT 512 tividade de Laboratório 2 luno: luno: luno: Objetivos: Turma: Investigar

Leia mais

INTRODUÇÃO AO ARDUINO DUE, CANAL DIGITAL, FUNÇÃO DELAY

INTRODUÇÃO AO ARDUINO DUE, CANAL DIGITAL, FUNÇÃO DELAY INTRODUÇÃO AO ARDUINO DUE, CANAL DIGITAL, FUNÇÃO DELAY INTRODUÇÃO A Plataforma Arduino é considerada plataforma aberta, ou seja, qualquer pessoa pode efetuar uma melhoria em um código, biblioteca e disponibilizá-la

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Histórico e Descrição estrutural Prof. Rodrigo de Paula Rodrigues Verilog Histórico 1983... 1987 1988 1989... 1995...

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Abstração do Mundo Real A Maioria das pessoas quando utilizam um computador para jogar ou ver um filme, não sabe exatamente o que acontece dentro

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Departamento de Engenharia Industrial. ENG Sistemas de Informação Gerenciais Caso de Uso - Exercícios

Departamento de Engenharia Industrial. ENG Sistemas de Informação Gerenciais Caso de Uso - Exercícios PONTIFÍCIA UNIVERSIDADE CATÓLICA DO RIO DE JANEIRO Departamento de Engenharia Industrial ENG 1518 - Sistemas de Informação Gerenciais Caso de Uso - Exercícios 1 - Construa um modelo de casos de uso para

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA AULA 17 - Nível de Transferência entre Registradores RTL. Livro texto, pág.242 a 276 e apostila de fluxo de dados. 1. Introdução:.Continuação projeto RTL. Exemplo 5.2: Medidor de distância baseado em raio

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 07 Aplicação de circuitos combinacionais: roteamento e codificação de dados de Paula Rodrigues Codificação e roteamento Contexto

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução à Programação 2006/07. Algoritmos

Introdução à Programação 2006/07. Algoritmos Introdução à Programação 2006/07 Algoritmos Cálculo da média de uma sequência Algoritmos: Exercício 1 Pretende-se escrever um programa que, dado um numero indeterminado de números introduzidos pelo teclado,

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Quartus II: Modo Esquemático. Leandro Schwarz

Quartus II: Modo Esquemático. Leandro Schwarz Quartus II: Modo Esquemático Leandro Schwarz Requisitos do Projeto Requisitos do Projeto Definição do problema: Uma empresa deseja implementar um semáforo para pedestres, com as seguintes características;

Leia mais

Controladores Lógicos Programáveis (CLP) Disciplina: TAIE4

Controladores Lógicos Programáveis (CLP) Disciplina: TAIE4 (CLP) Disciplina: TAIE4 Profº. Fernando Barros Rodrigues 1 Um Controlador Lógico Programável (CLP) é um dispositivo eletrônico que possui memória programável para armazenar instruções e executar funções

Leia mais

Análise e Projeto de Software

Análise e Projeto de Software Análise e Projeto de Software Proj. Desenvolvimento de Software Prof. Cleverton Hentz cleverton.hentz@ifrn.edu.br 8 de junho de 2017 Material Apresentado Sumário de Aula 1 Introdução 2 Estruturação do

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

Projecto e Controlo em Lógica Digital

Projecto e Controlo em Lógica Digital Projecto e Controlo em Lógica Digital 1º Laboratório Trabalho 1 Olá Mundo Objectivo: Escrever Ola Mundo em displays de 7 segmentos Lançar o Quartus II e abrir o projecto DE2_top; Alterar o programa para

Leia mais

Movimento de Saída. Procedimentos para consultar um movimento de saída.

Movimento de Saída. Procedimentos para consultar um movimento de saída. Procedimentos para consultar um movimento de saída. Copyright 2010 flexlife todos diretos reservados www. flexlife.com.br Versão 1.1-19/08/15 1. Para Consultar um Movimento de Saída Selecione o menu Almoxarifado

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

MÓDULO DE PASSAGEM LINEAR HCS

MÓDULO DE PASSAGEM LINEAR HCS LINEAR-HCS RUA SÃO JORGE, 269 - TELEFONE: 6823-8800 SÃO CAETANO DO SUL - SP - CEP: 09530-250 1 Revisado em 15/03/2007 MÓDULO DE PASSAGEM LINEAR HCS DESCRIÇÃO GERAL: O Módulo de passagem é um equipamento

Leia mais

2ª Lista de Exercícios

2ª Lista de Exercícios UNIVERSIDADE FEDERAL FLUMINENSE Escola de Engenharia Departamento de Telecomunicações TET00025 - Técnicas Digitais II Prof. João Marcos Meirelles da Silva 2ª Lista de Exercícios Referência para a Lista

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Informática I. Aula 9. Aula 9-17/05/2006 1

Informática I. Aula 9.  Aula 9-17/05/2006 1 Informática I Aula 9 http://www.ic.uff.br/~bianca/informatica1/ Aula 9-17/05/2006 1 Ementa Histórico dos Computadores Noções de Hardware e Software Microprocessadores Sistemas Numéricos e Representação

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

TREINADOR LÓGICO. O treinador lógico destina-se ao desenvolvimento de experiências com circuitos digitais em Laboratório Convencional.

TREINADOR LÓGICO. O treinador lógico destina-se ao desenvolvimento de experiências com circuitos digitais em Laboratório Convencional. TREINADOR LÓGICO O treinador lógico destina-se ao desenvolvimento de experiências com circuitos digitais em Laboratório Convencional. Visão geral: 1 A concepção inicial desse treinador é para ensaios com

Leia mais

Desenvolvimento de um Circuito Complexo

Desenvolvimento de um Circuito Complexo Desenvolvimento de um Circuito Complexo Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital complexo ou não trivial. Para isto será estudada uma metodologia

Leia mais

Ferramenta para Manutenção, Interfaces. Rodrigo Zimmermann

Ferramenta para Manutenção, Interfaces. Rodrigo Zimmermann Ferramenta para Manutenção, Documentação e Padronização de Interfaces Rodrigo Zimmermann 1 Sequência da apresentação Introdução Objetivos Requisitos Sequencia de uso da Ferramenta Diagrama entidade relacionamento

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira Departamento de Engenharia Elétrica - EESC-USP SEL-0415 Introdução à Organização de Computadores Memórias Parte 1 Aula 4 Prof. Dr. Marcelo Andrade da Costa Vieira Memória Semicondutora Elemento Básico

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Departamento de Sistemas de Computação - SSC. Sistemas Digitais. 2 o Semestre Projeto CPU. Data da apresentação: 26/27 de outubro

Departamento de Sistemas de Computação - SSC. Sistemas Digitais. 2 o Semestre Projeto CPU. Data da apresentação: 26/27 de outubro UNIVERSIDADE DE SÃO PAULO Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação - SSC Sistemas Digitais 2 o Semestre Projeto CPU Data da apresentação: 26/27 de outubro

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

Sistemas Digitais. Aula 11

Sistemas Digitais. Aula 11 Sistemas Digitais Aula 11 1 Projeto de sistemas digitais Unidade de Processamento da Informação Transferência de Informação Operação sobre a informação Unidade de Controle Determina a seqüência de operação

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Sistema de Gerenciamento de Food Truck

Sistema de Gerenciamento de Food Truck Curso Técnico Integrado de Informática 3 Ano Projeto Integrador Formação Profissional Sistema de Gerenciamento de Food Truck Karen Santos Rodrigues - 1460099 Dezembro de 2016 1. Introdução 1.1 Escopo do

Leia mais

Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação CLPs: Norma IEC 61131

Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação CLPs: Norma IEC 61131 Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação CLPs: Norma IEC 61131 Heitor Medeiros Florencio Norma IEC 61131 A norma IEC (International Electrotechnical

Leia mais

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar Sistema de Radar Versão 2015 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a detecção de objetos próximo com um sensor ultrassônico de distância e um servo-motor. A implementação

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE

Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL Verilog HDL Introduzida em 1985 pela Gateway Design System Corporation Após 1990, passou a ser de domínio público, e em 1995 passou a ser padrão IEEE Verilog HDL vs. VHDL Verilog HDL é mais

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Algoritmos e Programação

Algoritmos e Programação Algoritmos e Programação Aula 4 Estruturas de Condição Profa. Marina Gomes marinagomes@unipampa.edu.br 06/04/2017 Engenharia de Computação - Unipampa 1 Aula de Hoje Estrutura condicional simples Utilização

Leia mais