2ª Lista de Exercícios

Tamanho: px
Começar a partir da página:

Download "2ª Lista de Exercícios"

Transcrição

1 UNIVERSIDADE FEDERAL FLUMINENSE Escola de Engenharia Departamento de Telecomunicações TET Técnicas Digitais II Prof. João Marcos Meirelles da Silva 2ª Lista de Exercícios Referência para a Lista de Exercícios: Livro Sistemas Digitais - Princípios e Aplicações - Tocci, Widmer e Moss - 10ª/11ª. edição 1) Um decodificador BCD-7 Segmentos aciona um display conforme mostrado na Figura 1. Se as formas de onda mostradas são aplicadas conforme indicado, determine a sequência de dígitos que serão apresentados no display. Figura 1: Decodificador BCD-7 Segmentos. 2) O somador completo visto na Figura 2 é testado para todas as condições de entrada utilizando-se as formas de onda apresentadas. A partir da observação das formas de onda e Cout, responda: a. A operação do somador é adequada? b. Em caso negativo, qual o defeito mais provável? Figura 2: Circuito digital em teste.

2 3) As formas de onda da entrada de dados (D3 a D0) e as formas de o nda para as linhas de seleção (S1 e S0) são mostradas na Figura 3 e aplicadas ao multiplexador. Determine a forma de onda na saída Y. 4) Implemente um circuito digital combinacional utilizando apenas portas lógicas do tipo NAND para a função Booleana f(a,b) = A.B + A+B. 5) Em um trecho de um determinado programa em linguagem C, um desenvolvedor amador implementou o código abaixo. Mostre para o desenvolvedor que, utilizando mapas de Karnaugh, o mesmo trecho de código pode ser reescrito de uma forma mais simples. Reescreva este código. /* && equivale a E e equivale a OU */... If (sensora == 1 && sensorb == 0 && sensorc == 1) (sensora == 0 && sensorb == 1 && sensorc == 1) (sensora == 0 && sensorb == = 0 && sensorc == 1) (sensora == 0 && sensorb == 0 && sensorc == 0) (sensora == 1 && sensorb == 0 && sensorc == 0) { printf( \nencontrei uma condição de teste verdadeira ); c = getchar(); }... 6) Na linguagem VHDL, a implementação de um bloco funcional é usualmente descrita através da declaração de duas estruturas conforme mostrado abaixo. Explique a função de cada uma delas. ENTITY entity_name IS PORT(input and outpu definitions) END entity_name; Figura 3. Multiplexador 4 x 1.

3 ARCHITECTURE arch_name OF entity_name IS - Component declaration - Signal declaration BEGIN - Component instatiation(s) - Other statements END arch_name; 7) É possível utilizarmos um multiplexador para implementarmos qualquer função booleana. A Figura 4 apresenta o multiplexador 74LS151. As linhas de seleção são enumeradas como S 2 S 1 S 0, e as linhas de entrada como I 7 I 6 I 5 I 4 I 3 I 2 I 1 I0. Desenhe as ligações das entradas ao barramento de dois fios (+5V e DGND) de forma a implementar a seguinte expressão booleana: Figura 4: Multiplexador 74LS151 como circuito digital combinacional genérico. 8) As formas de onda apresentadas na Figura 5 são aplicadas às respectivas entradas do circuito. Sabendo-se que os decodificadores BCD-7 segmentos deixam apenas o segmento g em nível lógico ALTO para entradas inválidas: a. Diga qual a sequência numérica que irá aparecer no display superior. b. Diga qual a sequência numérica que irá aparecer no display inferior.

4 Figura 5: Circuito digital e formas de onda aplicadas na entrada. 9) Dado o circuito lógico mostrado na Figura 6, apresente a forma de onda na saída Y em função das formas de onda apresentadas. Figura 6: Multiplexador 4 x 1. 10) Dada a expressão booleana representada por 0,1 2,5,7,8,9,10,13,15: a. Apresente uma expressão booleana mínima na forma de soma-dede produto-de- produtos (SDP) b. Apresente uma expressão booleana mínima na forma somas (PDS) c. A partir da forma mínima para a SDP, desenhe o circuito lógico com o menor número possível de portas lógicas.

5 11) Projete um circuito combinacional multiplicador binário (sem sinal) de dois bits conforme mostrado na figura 7. a. Apresente a tabela verdade; b. Apresente os mapas de Karnaugh e as expressões lógicas simplificadas; c. Desenhe o diagrama completo do circuito. Figura 7: Circuito multiplicador de 2 números de 2 bits. 12) Em uma determinada máquina de fotocópia, 4 chaves (SW1, SW2, SW3 e SW4) estão posicionadas em diversos pontos ao longo da trajetória do papel dentro da máquina. Cada chave é do tipo normalmente aberta e, quando o papel passa sobre a chave, ela é fechada. É impossível o fechamento simultâneo das chaves SW1 e SW4. Projete um circuito lógico combinacional que gere uma saída em nível alto sempre que duas ou mais chaves estiverem fechadas ao mesmo tempo, indicando atolamento de papel. 13) Dadas as formas de onda na Figura 8 e sabendo-se que as saídas S1 e S2 pertencem a um único circuito combinacional cujas entradas são A, B e C, projete o circuito utilizando-se o menor número de portas lógicas possível. Figura 8: Formas de onda das entradas e saídas do circuito.

6 14) Dado o circuito abaixo na Figura 9 e as formas de onda apresentadas, desenhe as formas de onda nos pontos X e Y. Figura 9: Circuito digital combinacional e formas de onda.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Parte # 5 - Circuitos Combinacionais

Parte # 5 - Circuitos Combinacionais CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 5 - Circuitos Combinacionais 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

Disciplina: Eletrônica Digital Aluno: Nº: Código: EL52M Turma: Prof.: Gustavo B. Borba Código: Data: / /

Disciplina: Eletrônica Digital Aluno: Nº: Código: EL52M Turma: Prof.: Gustavo B. Borba Código: Data: / / Ministério da Educação Centro Federal de Educação Tecnológica do Paraná Departamento Acadêmico de Eletrônica DAELN Curso Superior de Tecnologia em Mecatrônica Disciplina: Eletrônica Digital Aluno: Nº:

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 04: Circuitos Combinacionais Decodificadores

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 04: Circuitos Combinacionais Decodificadores AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE CIRCUITOS DIGITAIS Prof. Sérgio F. Ribeiro

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO PLANO DE ENSINO

PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO PLANO DE ENSINO PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO Disciplina: Sistemas Digitais para Computação PLANO DE ENSINO Curso: Engenharia de Computação/ Ciência da

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Eletrônica Digital. Projeto de Circuitos Combinacionais. Alex Vidigal Bastos

Eletrônica Digital. Projeto de Circuitos Combinacionais. Alex Vidigal Bastos Eletrônica Digital Projeto de Circuitos Combinacionais Alex Vidigal Bastos Introdução O circuito combinacional é aquele em que a saída depende única e exclusivamente das combinações entre as variáveis

Leia mais

CAPÍTULO 4 CIRCUITOS COMBINACIONAIS

CAPÍTULO 4 CIRCUITOS COMBINACIONAIS CAPÍTULO 4 CIRCUITOS COMBINACIONAIS Soma de produtos e produto de somas Simplificação algébrica Mintermos e maxtermos Simplificação Algébrica Projeto de circuitos lógicos combinacionais Mapas de Karnaugh

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

Aula 14: Lógica e circuitos digitais

Aula 14: Lógica e circuitos digitais Aula 14: Lógica e circuitos digitais Circuitos combinacionais circuitos sequenciais Rodrigo Hausen hausen@usp.br 29 de setembro de 2011 http://cuco.pro.br/ach2034 Rodrigo Hausen (hausen@usp.br) Aula 14:

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Sistemas Digitais Ficha Prática Nº Uniformização de circuitos com pontas NAND e NOR

Sistemas Digitais Ficha Prática Nº Uniformização de circuitos com pontas NAND e NOR Sistemas Digitais Ficha Prática Nº 2 Uniformização de circuitos com portas NAND e NOR Simplificação de funções com mapas de Karnaugh Desenho de circuitos digitais Implementação de funções lógicas na forma

Leia mais

Sistemas Digitais. Prof. Me. Victor Machado Alves Ciência da Computação

Sistemas Digitais. Prof. Me. Victor Machado Alves Ciência da Computação Sistemas Digitais Prof. Me. Victor Machado Alves Ciência da Computação victor.alves@urisantiago.br Sistemas de Numeração digital Sistema decimal Duas posições decimais (10²) = 100 números diferentes 10ᴺ

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 4:

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 4: Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 4: Circuitos combinacionais: somador completo e decodificador BCD

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

ab c x x 1

ab c x x 1 6. Otimizações e Tradeoffs - págs. 313 a 335. Exemplo 6.7: Minimização do tamanho de uma lógica de dois níveis com termos irrelevantes no mapa K. Minimizar o mapa K a seguir. ab 00 c 0 0 1 x 1 1 0 0 x

Leia mais

Figura 1 - Display de 7 segmentos

Figura 1 - Display de 7 segmentos Lista de exercicio para revisão Um display de 7 segmentos é um dispositivo eletrônico composto por sete led s com formato de segmento, posicionados de modo a possibilitar a formação de um algarismo decimal

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6

Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6 Departamento de Engenharia Elétrica e de Computação SEL 405 Lab. de Introdução aos Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº 6 UNIDADE LÓGICA ARITMÉTICA (ULA) E DECODIFICADOR PARA

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

Sistemas Digitais Apresentação

Sistemas Digitais Apresentação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Apresentação Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel A. Furtado Aulas Teóricas e

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Circuitos Combinacionais. Arquitetura de Computadores I

Circuitos Combinacionais. Arquitetura de Computadores I Circuitos Combinacionais Arquitetura de Computadores I Roteiro } Introdução } Gerador e Verificador de Paridade } Comparadores } Circuitos aritméticos } Somador (Half Adder e Full Adder) } Subtrator (Meio

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

Projetos de Decodificadores

Projetos de Decodificadores Projetos de Decodificadores Para construir decodificadores que passem de qualquer código para outro qualquer basta montarmos a tabela verdade simplificar as expressões de saída e implementarmos o circuito.

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO UFMT 1) IDENTIFICAÇÃO: Disciplina: Lógica Matemática e Elementos de Lógica Digital Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO Curso:

Leia mais

Circuitos Digitais EXERCICIO 2

Circuitos Digitais EXERCICIO 2 Engenharia de Automação e Controle Engenharia Elétrica Circuitos Digitais EXERCICIO 2 Prof. José dos antos Garcia Neto ão Paulo 2014 Prof. José dos antos Garcia Neto 1 Exercício Monitor de Tensão: na figura

Leia mais

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 07 Aplicação de circuitos combinacionais: roteamento e codificação de dados de Paula Rodrigues Codificação e roteamento Contexto

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Os circuitos lógicos dos sistemas digitais

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

(a) (b) (c) (d) =? 2. (a) (c) (b) (d) (a) (c) (b) (d) (a) 5BA4 16 (c) 7DC6 16

(a) (b) (c) (d) =? 2. (a) (c) (b) (d) (a) (c) (b) (d) (a) 5BA4 16 (c) 7DC6 16 Exercícios Lista Universidade Tecnológica Federal do Paraná - UTFPR Departamento Acadêmico de Eletrônica DAELN Disciplina: EL66J - Eln Ind. Prof. Gustavo B. Borba Exercícios Lista Pré-requisitos Preencha

Leia mais

6. Análise Lógica Combinacional

6. Análise Lógica Combinacional Objetivos 6. Análise Lógica Combinacional Analisar circuitos lógicos combinacionais básicos, tais como AND-OR, AND-OR-inversor, EX-OR e EX- NOR Usar circuitos AND-OR e AND-OR-inversor para implementar

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Circuitos Lógicos Combinacionais Capítulo 4

Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Os temas abordados nesse capítulo são: Conversão de expressões lógicas para expressões de soma-de-produtos. Projetos de circuitos lógicos simples. Álgebra booleana

Leia mais

Sistemas Digitais Módulo 5 Teoremas Lógicos, Simplificação Algébrica e Projeto de Circuitos Lógicos

Sistemas Digitais Módulo 5 Teoremas Lógicos, Simplificação Algébrica e Projeto de Circuitos Lógicos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 5 Teoremas Lógicos, Simplificação Algébrica e Projeto de Circuitos Lógicos Graduação em Sistemas de Informação Prof.

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica e Eletrônica DISCIPLINA: Circuitos e Técnicas Digitais CÓDIGO: EEL 5105 CRÉDITOS: 05 (02 Teoria e 03 Prática) CARGA HORÁRIA: 90 horas-aula OFERTA: Ciência da Computação

Leia mais

Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática. Eletrônica Digital

Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática. Eletrônica Digital Universidade Estadual de Maringá Centro de Tecnologia Departamento de Informática Eletrônica Digital Disciplina Professor: Flávio Rogério Uber E-mail: flavio.uber@gmail.com Bloco C56 sala 24 Programa )

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte Multiplexadores e Demultiplexadores Professor Dr. Michael Klug É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante,

Leia mais

a) Bloco lógico do decodificador b) A tabela da verdade do decodificador, saída decimal lógica positiva e entrada código BCD

a) Bloco lógico do decodificador b) A tabela da verdade do decodificador, saída decimal lógica positiva e entrada código BCD DECODIFICADORES e SISTEMAS DE NUMERAÇÃO. DP Exercícios Decodificadores binários, decimais e para displays págs. 93 a 102. Introdução : Um circuito decodificador é capaz de transformar um código de entrada

Leia mais

Capítulo 4 Circuitos Lógicos Combinacionais

Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Conteúdo Simplificação de circuitos lógicos algebricamente Projeto circuitos lógicos combinacionais Mapas de Karnaugh Portas OR-exclusiva e NOR-exclusiva Características

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Contadores síncronos crescentes 2 Contadores síncronos decrescentes 3 Contadores

Leia mais

ESPECIFICAÇÃO DO PROJETO (Primeira Unidade)

ESPECIFICAÇÃO DO PROJETO (Primeira Unidade) ESPECIFICAÇÃODOPROJETO(PrimeiraUnidade) ProjetodaULA OprojetodaULAprecisaseracopladoaumdecodificadorbinárioparadisplaydesete segmentosquetambémserádesenvolvido.esteprojetodaprimeiraunidadedeveser desenvolvidototalmentebaseadoemportaslógicas.

Leia mais

Universidade Federal de Uberlândia Faculdade de Computação

Universidade Federal de Uberlândia Faculdade de Computação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 7 Introdução aos Circuitos Codificadores e Decodificadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais

Leia mais

Introdução: Objetivos:

Introdução: Objetivos: Escola de Engenharia de São Carlos - USP Departamento de Engenharia Elétrica e de Computação SEL 0412 Tecnologia digital Trabalho nº 2 - Somador Aritmético Completo Introdução: Qualquer sistema de processamento

Leia mais

Sistemas Digitais. Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006

Sistemas Digitais. Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Sistemas Digitais Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Aula 1 Semana 26 a 30 de Setembro - Apresentação 1. Apresentação da disciplina aos alunos: a. Programa da disciplina

Leia mais

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h Apresentação da Disciplina Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Introdução à Eletrônica É ciência que estuda a forma de controlar a energia elétrica por meios elétricos nos quais os

Leia mais

Projeto de Lógica Combinatória

Projeto de Lógica Combinatória Projeto de Lógica Combinatória S. W. Song MAC 412 - Organização de Computadores Lógica combinatória Esse assunto já foi visto em Álgebra Booleana. Aqui vamos apenas tratar de alguns tópicos específicos.

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 2: Portas Lógicas Básicas Tocci, Sistemas Digitais, Cap. 3. https://sites.google.com/site/en2605edigital/edigital Prof. Rodrigo Reina Muñoz rodrigo.munoz@ufabc.edu.br

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 8 Multiplexadores e Demultiplexadores Prof.: Michael É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante, para transferi-lo

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA

PONTIFÍCIA UNIVERSIDADE CATÓLICA P U C PONTIFÍCIA UNIVERSIDADE CATÓLICA DEPARTAMENTO DE ENGENHARIA E N G E N H A R I A LABORATÓRIO DE SISTEMAS DIGITAIS I SD I Prof. Dr. Aparecido S. Nicolett Prof. Dr. Sérgio Miranda Paz - Versão: 1. 2016

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 1ª Teste 2 de Novembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 1ª Teste 2 de Novembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais ª Teste de Novembro de 005 Antes de iniciar o teste leia atentamente esta folha de rosto. Duração

Leia mais

Aula 05 Circuitos lógicos combinacionais

Aula 05 Circuitos lógicos combinacionais ELT 054 (LAB) Turmas D1/D2 D3/D4 1º. Sem 2012 Aula 05 Circuitos lógicos combinacionais Objetivo 1. Resolver/simular problemas combinacionais simples usando portas lógicas Introdução Na eletrônica digital

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Códigos Neste capítulo estudaremos circuitos destinados a aplicações específicas, destacamos : Codificadores Decodificadores Circuitos aritméticos:

Códigos Neste capítulo estudaremos circuitos destinados a aplicações específicas, destacamos : Codificadores Decodificadores Circuitos aritméticos: Códigos Neste capítulo estudaremos circuitos destinados a aplicações específicas, destacamos : Codificadores Decodificadores Circuitos aritméticos: -meio somador, -somador completo -meio subtrator -subtrator

Leia mais

Codificadores/Decodificadores Multiplexadores/Demultiplexadores

Codificadores/Decodificadores Multiplexadores/Demultiplexadores Codificadores/Decodificadores Multiplexadores/Demultiplexadores Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 30 de abril de 2015 1 / 14 Codificadores/Decodificadores

Leia mais

Codificadores e Decodificadores

Codificadores e Decodificadores Codificadores e Decodificadores Nikolas Libert ula 6 Eletrônica Digital ET52C Tecnologia em utomação Industrial Codificadores e Decodificadores Codificadores e Decodificadores Uma mesma informação pode

Leia mais

Lista de Materiais. Laboratório P111 BC Resistor ¼ W

Lista de Materiais. Laboratório P111 BC Resistor ¼ W Lista de Materiais Material Material equivalente CMOS Quantidade (máxima por bancada por experiência) Laboratório P111 C547 04 Resistor ¼ W 04 5,6k Resistor ¼ W 02 470 Resistor ¼ W 04 47k Resistor ¼ W

Leia mais

O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7

O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 Notas de Aulas -2 Demultiplex como gerador de função boleana Exemplos, Codificadores - págs. 93 a 02. Exemplo: Gerar esta função f usando DEMUX de 03 variáveis de seleção, sendo S 2 a variável mais significativa.

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

Circuito combinacional

Circuito combinacional Circuito combinacional É todo circuito cuja saída depende única e exclusivamente das várias combinações das variáveis de entrada. Estudando os circuitos combinacionais podemos entender o funcionamento

Leia mais

Introdução a eletrônica digital, apresentação do curso, cronograma do curso.

Introdução a eletrônica digital, apresentação do curso, cronograma do curso. EMENTA: Ferramentas para simulação e projeto de sistemas digitais. Equipamentos e componentes para montagem de sistemas digitais. Equipamentos para mensuração e teste na implementação de sistemas digitais.

Leia mais

EELi02 Circuitos Lógicos

EELi02 Circuitos Lógicos EELi02 Circuitos Lógicos Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ Transparências: Profa. Mara Cristina... Prof. Tiago Ferreira... Avaliações Nota 1: Prova teórica

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica ELT52 Eletrônica Digital I Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 9 Circuitos aritméticos Parte 2/2 Aritmética BCD e ULA de Paula Rodrigues Circuitos aritméticos

Leia mais

Introdução. Display de sete segmentos

Introdução. Display de sete segmentos Código do Laboratório: AP08 Data: 30/04/2009 Nomes: Bruno Jurkovski Cartão número 172865 Marcos Vinicius Cavinato Cartão número 171774 Turma D Introdução A aula prática de 30/04/2009 consiste das seguintes

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES

CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES Roteiro Laboratorial Nº 4 CIRCUITOS LÓGICOS COMBINACIONAIS: MULTIPLEXADORES E DEMULTIPLEXADORES BARROS, E. C. 1, NASCIMENTO, L. A. F. 1, MOURA, A. F. L. 1, EGOAVIL, C. J. 2 1 Monitor (a) da disciplina

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

ELLi002. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/

ELLi002. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/ ELLi002 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ CÓDIGOS CÓDIGOS Projeto de sistemas combinacionais CÓDIGOS BCD (DECIMAL P/ ALGUM BINÁRIO) DECIMAL BCD 8421 BCD

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a istemas Digitais Projeto Parte de Controle e Parte Operativa Descrição em linguagem de hardware RTL Implementação ERIAL x PARALELA Parte Operativa Parte de Controle Descrição a nivel de transferencia

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais