Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Tamanho: px
Começar a partir da página:

Download "Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues"

Transcrição

1 UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues 10 de Abril de 2012 A placa DE2 da Altera é um kit de desenvolvimento para apreciação da família de arranjos de portas programáveis em campo (FPGA) Cyclone II. Essa placa emprega um dispositivo de lógica programável da série EP2C35F672C6N que, agregado ao conjunto de periféricos do kit, permitem com que sejam desenvolvidas aplicações simples de lógica combinacional até complexas aplicações de lógica sequencial envolvendo processamento de sinais de áudio, vídeo e afins. A figura seguinte apresenta a placa DE2 e evidencia seus periféricos. DE2 - Tutorial de gravação 1/11

2 Periféricos na placa DE2: A Conector de alimentação da placa; B Conector USB para o gravador USB-Blaster; C Conector USB para atuar como cliente USB; D Conector USB para atuar como hospedeiro USB; E Conectores de entrada e saída de áudio; F Conectores de entrada e saída de vídeo; G Conector Ethernet RJ45; H Conector RS232; I Conector de entrada OS2 para mouse e teclado; J Conectores de expansão; K Conector para cartão de dados SD; L Porta de comunicação infra-vermelho; M Chaves de apertar; N Chaves de seleção liga/desliga; O Conjunto de diodos emissores de luz (LED); P Display LCD de 16x2 linhas; Q Chave de escolha entre os modos de gravação e programação; R Botão liga/desliga da placa DE2; S Memória SDRAM de 8 MB; T Memória SRAM de 512 kb; U Memória Flash de 4 MB; V FPGA Cyclone II EP2C35F672C6N; X Memória de configuração para o FPGA; W Displays de 7 segmentos. DE2 - Tutorial de gravação 2/11

3 Periféricos da placa DE2 Alguns dos periféricos presentes no kit DE2 são citados na sequência. 1 - Chaves de seleção de estado As chaves de seleção de estados são os periféricos de SW[0] a SW[17]. Seu estado lógico alto ocorre quando a chave estiver em sua posição para cima. Chave de seleção Periféric o FPGA SW[0] PIN_N25 SW[1] PIN_N26 SW[2] PIN_P25 SW[3] PIN_AE14 SW[4] PIN_AF14 SW[5] PIN_AD13 SW[6] PIN_AC13 SW[7] PIN_C13 SW[8] PIN_B13 Chave de seleção Periféric o FPGA SW[9] PIN_A13 SW[10] PIN_N1 SW[11] PIN_P1 SW[12] PIN_P2 SW[13] PIN_T7 SW[14] PIN_U3 SW[15] PIN_U4 SW[16] PIN_V1 SW[17] PIN_V2 2 - Botões de pressionamento Os botões de pressionamento presentes no kit são os periféricos de nome KEY[0] a KEY[3]. Estas chaves são do tipo normalmente fechadas e, desta forma, geram um pulos negativo ao serem pressionadas. Sem pressionamento, essas chaves geram nível lógico alto. Botões Periféric o FPGA KEY[0] PIN_G26 KEY[1] PIN_N23 KEY[2] PIN_P23 KEY[3] PIN_W LEDs de sinalização O kit DE2 possui 2 grupos de LEDs associados a pinos de seu dispositivo de lógica programável. Os periféricos de nome LEDR[0] a LEDR[17] emitem luz na cor vermelha, periféricos de nome LEDG[0] a LEDG[8] emitem luz na cor verde. DE2 - Tutorial de gravação 3/11

4 LEDs de cor vermelha LEDR[0] PIN_AE23 LEDR[1] PIN_AF23 LEDR[2] PIN_AB21 LEDR[3] PIN_AC22 LEDR[4] PIN_AD22 LEDR[5] PIN_AD23 LEDR[6] PIN_AD21 LEDR[7] PIN_AC21 LEDR[8] PIN_AA14 LEDs de cor vermelha LEDR[9] PIN_Y13 LEDR[10] PIN_AA13 LEDR[11] PIN_AC14 LEDR[12] PIN_AD15 LEDR[13] PIN_AE15 LEDR[14] PIN_AF13 LEDR[15] PIN_AE13 LEDR[16] PIN_AE12 LEDR[17] PIN_AD12 LEDs de cor verde Periféric o FPGA LEDG[0] PIN_AE22 LEDG[1] PIN_AF22 LEDG[2] PIN_W19 LEDG[3] PIN_V18 LEDG[4] PIN_U18 LEDG[5] PIN_U17 LEDG[6] PIN_AA20 LEDG[7] PIN_Y18 LEDG[8] PIN_Y12 4 Displays de 7 segmentos Estão presentes oito displays de 7 segmentos na placa. Esses periféricos recebem a designação de HEX[0] a HEX[7] e são do tipo anodo comum, ou seja, cada um de seus segmentos é ativo com nível lógico baixo. O pino de acionamento do segmento de ponto decimal de cada um desses periféricos não foi conectado a um pino do FPGA e, desta forma, não pode ser manipulado. 3.3V a b c d e f g Para se trabalhar com esse tipo de display, se deve especificar o sinal de estado de acionamento para cada um dos 7 segmentos que compõem um dígito. A forma mais comum de aplicar este tipo de periférico é utilizá-lo como display numérico de 0 a 9, muito embora seja possível também se trabalhar com os dígitos hexadecimais de a a f. As duas próximas tabelas possuem a relação entre os dígitos hexadecimais e os respectivos estados lógicos necessários para reproduzi-los por meio dos displays de 7 segmentos presentes na placa DE2. Dígito a b c d e f g Dígito a b c d e f g A b c d E F DE2 - Tutorial de gravação 4/11

5 As 8 tabelas seguintes possuem o mapa de associação dos segmentos dos oito displays presentes no kit de ensino. HEX0 a PIN_AF10 b PIN_AB12 c PIN_AC12 d PIN_AD11 e PIN_AE11 f PIN_V14 g PIN_V13 HEX1 a PIN_V20 b PIN_V21 c PIN_W21 d PIN_Y22 e PIN_AA24 f PIN_AA23 g PIN_AB24 HEX2 a PIN_AB23 b PIN_V22 c PIN_AC25 d PIN_AC26 e PIN_AB26 f PIN_AB25 g PIN_Y24 HEX3 a PIN_Y23 b PIN_AA25 c PIN_AA26 d PIN_Y26 e PIN_Y25 f PIN_U22 g PIN_W24 HEX4 a PIN_U9 b PIN_U1 c PIN_U2 d PIN_T4 e PIN_R7 f PIN_R6 g PIN_T3 HEX5 a PIN_T2 b PIN_P6 c PIN_P7 d PIN_T9 e PIN_R5 f PIN_R4 g PIN_R3 HEX6 a PIN_R2 b PIN_P4 c PIN_P3 d PIN_M2 e PIN_M3 f PIN_M5 g PIN_M4 HEX7 a PIN_L3 b PIN_L2 c PIN_L9 d PIN_L6 e PIN_L7 f PIN_P9 g PIN_N9 DE2 - Tutorial de gravação 5/11

6 Gravação do dispositivo FPGA Os passos para se gravar uma descrição em HDL ou um circuito esquemático no dispositivo de lógica programável presente na placa DE2 são os seguintes: 1. Especificar, junto ao projeto, o FPGA com o qual trabalhar; 2. Associar as portas de entrada e de saída do circuito a pinos do FPGA; 3. Especificar o tratamento aos pinos não utilizados; 4. Configurar o processo de gravação; 5. Efetuar a gravação. 1 - Especificação do FPGA com o qual trabalhar Para que o projeto em desenvolvimento por meio do Quartus II possa ser programado no FPGA do kit DE2, o dispositivo EP2C35F672C6 deve ser especificado como o dispositivo de destino para o projeto. Para criar tal relacionamento, se deve executar os seguintes passos: 1 Na interface do assistente de criação de novo projeto ou na interface acessível por meio da opção de menu Associações Dispositivo e, então, opção Dispositivo, escolher a família Cyclone II; 2 Escolher o modelo EP2C35F672C6 na lista de dispositivos disponíveis. Interface acessada durante o assistente de criação de novo projeto Interface acessada através do item de menu Associações Dispositivo DE2 - Tutorial de gravação 6/11

7 2 - Associação das portas do circuito aos pinos do FPGA Para que os periféricos presentes na placa DE2 possam ser acessados por um circuito esquemático ou uma descrição em HDL, os pinos relacionados aos periféricos da placa com os quais o projeto interagirá devem ser associados com as portas de entrada e saída do projeto em desenvolvimento. Para se realizar o mapeamento em questão, deve-se abrir a interface de planejamento de pinos por meio do botão de planejamento de pinos presente na interface principal do Quartus II. Uma vez na interface de planejamento de pinos, basta associar cada uma das portas de entrada/saída do projeto com um respectivo pino do FPGA. Este relacionamento pode ser feito ou se escolhendo cada um dos pinos presentes na representação gráfica do FPGA ou se utilizando a tabela de relacionamento presente na divisão inferior da interface. Para realizar tal associação por meio da tabela, basta prosseguir à linha relacionada à porta a ser associada e, na respectiva coluna localização, fornecer o nome do pino ao qual a porta será associada. Este processo deve ser repetido para cada uma das portas do circuito em desenvolvimento. DE2 - Tutorial de gravação 7/11

8 Uma segunda forma de realizar a associação de pinos é importar uma associação já existente. Neste caso, as portas presentes no circuito em desenvolvimento devem coincidir com as portas de entrada e de saída presentes na associação a ser importada. O modelo do dispositivo lógico programável em uso pelo circuito e o presente no arquivo de importação também devem coincidir. Para efetuar a importação de uma associação já existente, entre na interface de planejamento de pinos, acessível via botão de planejamento de pinos presente na interface principal do Quartus II ou por meio da opção de menu Associações Pinos. Com tal interface aberta, seleciona a opção de menu Associações Importar associações. Na interface que se abrirá, selecione o arquivo com as informações de associação de pinos e então confirme a importação. 3 - Tratamento aos pinos não utilizados Uma vez que a placa DE2 contém um conjunto de periféricos fisicamente conectados aos pinos de seu FPGA, projetos que não se utilizem de todos os pinos do dispositivo devem colocar em estado de inatividade (alta impedância) todos os pinos que não tenham aplicação no projeto. Cada um dos pinos de entrada/saída de um FPGA da família Cyclone II possui um estado de altaimpedância que pode ser ativado por meio de configuração no Quartus II. Esta configuração pode ser acessada por meio do botão Opções do Dispositivo e de Pinos, o qual é acessível por meio do item de menu Associações Dispositivo e então a opção Dispositivo. DE2 - Tutorial de gravação 8/11

9 Já na interface que se abre ao se pressionar o botão citado, deve-se acessar a aba Pinos não utilizados e, então, escolher a opção Como entradas em estado de alta-impedância (As input tri-stated) na caixa de seleção Reserve pinos não utilizados como:. Importante: Uma vez efetuada a associação de pinos e a especificação do tratamento de pinos não utilizados, deve-se recompilar o projeto. 4 Configuração do processo de gravação Para que ocorra com êxito, o processo de gravação deve ser corretamente configurado. Com a placa DE2 corretamente conectada ao circuito de alimentação, ligada e então conectada ao computador por meio de seu cabo de conexão USB, acesse o botão programador presente na interface principal do aplicativo. Uma vez na interface de programação do dispositivo, acesse o botão Configuração de Hardware. DE2 - Tutorial de gravação 9/11

10 Na interface que se abre, escolha o equipamento USB-Blaster na caixa de seleção do equipamento correntemente selecionado e então feche a interface por meio do botão fechar. Ainda na interface de gravação, garanta que o equipamento selecionado é o USB-Blaster e que o modo, JTAG. Na chave de seleção presente na placa (periférico Q), selecione a posição RUN. 5 Efetuando a gravação Uma vez configurado o processo de gravação, a efetiva programação do FPGA é requisitada por meio do botão de Início presente na interface de gravação. DE2 - Tutorial de gravação 10/11

11 Se a gravação for efetuada com sucesso, a barra lateral de progresso sinalizará 100% e o projeto poderá, então, ser testado por meio da placa DE2 e seus periféricos. DE2 - Tutorial de gravação 11/11

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento

Leia mais

Introdução ao Altera DE2. Edson Midorikawa

Introdução ao Altera DE2. Edson Midorikawa Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board

Leia mais

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2 Introdução ao Projeto de Circuitos com Altera DE2 Edson Midorikawa Setembro/2012 Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Roteiro de Laboratório 01 Equipamentos e Ferramentas para práticas de Laboratório

Roteiro de Laboratório 01 Equipamentos e Ferramentas para práticas de Laboratório Roteiro de Laboratório 01 Equipamentos e Ferramentas para práticas de Laboratório 1 Objetivos 1.1 Apresentar os equipamentos e ferramentas que serão utilizados na realização dos roteiros de Laboratório.

Leia mais

Instituto Federal de Educação, Ciências e Tecnologia de Santa Catarina. Máquina de Bebidas

Instituto Federal de Educação, Ciências e Tecnologia de Santa Catarina. Máquina de Bebidas Instituto Federal de Educação, Ciências e Tecnologia de Santa Catarina Máquina de Bebidas Ana Paula Hoog Carlinhos Alves Ribeiro Júnior Thiago Alberto da Silva São José, 20 de Dezembro de 2016 Resumo Neste

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

ITEM Instituto de Tecnologia Emerson Martins MANUAL DO KIT FPGA-EE REV-01

ITEM Instituto de Tecnologia Emerson Martins MANUAL DO KIT FPGA-EE REV-01 ITEM Instituto de Tecnologia Emerson Martins MANUAL DO KIT FPGA-EE03 www.professoremersonmartins.com.br 2016 REV-01 MANUAL DE UTILIZAÇÃO DO KIT FPGA EE03 Primeiramente o ITEM INSTITUTO DE TECNOLOGIA EMERSON

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Cerne Tecnologia e Treinamento. MSN: Skipe: cerne-tec.

Cerne Tecnologia e Treinamento.   MSN: Skipe: cerne-tec. Cerne Tecnologia e Treinamento Tutorial para Testes na Placa Cerne MMC (21) 3062-1711 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br Kits

Leia mais

Sistemas Digitais. Tutorial Placa de Prototipação - Altera

Sistemas Digitais. Tutorial Placa de Prototipação - Altera Sistemas Digitais Tutorial Placa de Prototipação - Altera Monitoria SD 2012.1 Daniel Alexandro/Vanessa Ogg/Gustavo Benevides/Gilliano Ginno/Sidney Marlon Editado por (DARA) Placa Altera 1 Antes de Usar

Leia mais

APRESENTAÇÃO DO KIT CPLD_EE01

APRESENTAÇÃO DO KIT CPLD_EE01 APRESENTAÇÃO DO KIT CPLD_EE01 O kit CPLD_EE01 foi desenvolvido para alunos de cursos técnicos, engenharia e desenvolvedores na área de circuitos digitais, o mesmo conta com alguns módulos que podem ser

Leia mais

CONTROLADOR DE PRODUTOS EM DIFERENTES ESTEIRAS

CONTROLADOR DE PRODUTOS EM DIFERENTES ESTEIRAS MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA CAMPUS SÃO JOSÉ CONTROLADOR DE PRODUTOS EM DIFERENTES ESTEIRAS

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

Cerne Tecnologia e Treinamento (21) (11) MSN: Skipe: cerne-tec

Cerne Tecnologia e Treinamento (21) (11) MSN: Skipe: cerne-tec Cerne Tecnologia e Treinamento Tutorial para Testes na Placa PICLAB16F877A (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa Cerne Scope (21)4063-9798 (11)4063-1877 Skype: cerne-tec MSN: cerne-tec@hotmail.com www.cerne-tec.com.br Kits Didáticos e Gravadores da Cerne Tecnologia

Leia mais

Cerne Tecnologia e Treinamento. MSN: Skipe: cerne-tec.

Cerne Tecnologia e Treinamento.   MSN: Skipe: cerne-tec. Cerne Tecnologia e Treinamento Tutorial de Instalação da Placa Cerne Leds (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br Kits

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

MANUAL DO KIT FPGA EE02-SOQ

MANUAL DO KIT FPGA EE02-SOQ EMERSON ELETRÔNICOS MANUAL DO KIT FPGA EE02-SOQ www.professoremersonmartins.com.br 2014 REV-01 MANUAL DE UTILIZAÇÃO DO KIT FPGA EE02_SOQ Primeiramente a Emerson Eletrônicos, em nome do professor Emerson

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

PAINEL ELETRÔNICO DE MENSAGENS MANUAL DE OPERAÇÃO

PAINEL ELETRÔNICO DE MENSAGENS MANUAL DE OPERAÇÃO PAINEL ELETRÔNICO DE MENSAGENS MANUAL DE OPERAÇÃO ÍNDICE 1. INTRODUÇÃO 2. DESCRIÇÃO DO PAINEL 3. CONEXÃO 4. OPERAÇÃO 5. SOFTWARE DE CONFIGURAÇÃO 5.1. Instalando o software de configuração 5.2. Iniciando

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa Gravadora de Memória 24C (21)4063-9798 (11)4063-1877 Skype: cerne-tec MSN: cerne-tec@hotmail.com www.cerne-tec.com.br Kits Didáticos e Gravadores

Leia mais

PAINEL ELETRÔNICO MANUAL DE OPERAÇÃO

PAINEL ELETRÔNICO MANUAL DE OPERAÇÃO PAINEL ELETRÔNICO MANUAL DE OPERAÇÃO INDICE 1. INTRODUÇÃO 2. DESCRIÇÃO DO PAINEL 3. CONEXÃO 3.1. Conexão para operação em modo Stand-alone 4. OPERAÇÃO 4.1. Em Modo Stand-Alone 4.2. Ajuste do Relógio 5.

Leia mais

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial Comércio e Manutenção de Produtos Eletrônicos proxsys@proxsys.com.br Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial PROXSYS Conversor USB/RS485 ou USB/RS232

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Programação em Linguagem C

Programação em Linguagem C Programação em Linguagem C UNIDADE 1 Unidade I Nesta unidade aprenderemos: escrever o código no computador e transferir para o Arduino. ligar e desligar um pino; utilizar o delay (tempo); ler um pino para

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa PICLAB16F628A (21) 4063-9798 (11) 4063-1877 E-mail: MSN: cerne-tec@hotmail.com Skipe: cerne-tec Kits Didáticos e Gravadores da Cerne Tecnologia A

Leia mais

AULA 6 - INTRODUÇÃO AO ARDUINO Revisão de Eletrônica Digital e introdução aos Sistemas Computacionais.

AULA 6 - INTRODUÇÃO AO ARDUINO Revisão de Eletrônica Digital e introdução aos Sistemas Computacionais. AULA 6 - INTRODUÇÃO AO ARDUINO Revisão de Eletrônica Digital e introdução aos Sistemas Computacionais. 6.1 Objetivo: O objetivo desta aula é mostrar aos alunos o que é e como funciona o Arduino e seus

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Simulação de programas assembly para o HCS12 no CodeWarrior

Simulação de programas assembly para o HCS12 no CodeWarrior UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT044 Laboratório de Microcontroladores Simulação de programas assembly para o HCS12 no CodeWarrior

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa Cerne Connect PLUS suporte@cerne-tec.com.br Cerne Kits Didáticos e Gravadores da Cerne Tecnologia A Cerne tecnologia têm uma linha completa de aprendizado

Leia mais

PLATAFORMA PARA ESTUDO EM PROGRAMAÇÃO DE MICROCONTROLADORES FAMÍLIA 8051

PLATAFORMA PARA ESTUDO EM PROGRAMAÇÃO DE MICROCONTROLADORES FAMÍLIA 8051 PLATAFORMA PARA ESTUDO EM PROGRAMAÇÃO DE MICROCONTROLADORES FAMÍLIA 8051 MODELO: EE0216 DESCRIÇÃO Plataforma de treinamento em microcontroladores família 8051 base em aço carbono e pés de borracha, pintura

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Volume 1 Conhecendo o Equipamento

Volume 1 Conhecendo o Equipamento Volume 1 Conhecendo o Equipamento CONTEÚDO 1.Introdução...3 2.Conhecendo o equipamento...4 2.1.Elementos gerais... 4 2.2.Conectores...5 2.3.Visor do equipamento...6 2.4.Capacidade de armazenamento de colaboradores...6

Leia mais

INCB01 GUIA RÁPIDO. Av.Pátria 1171, Porto Alegre RS

INCB01 GUIA RÁPIDO. Av.Pátria 1171, Porto Alegre RS 1 INCB01 GUIA RÁPIDO 2 SUMÁRIO SUMÁRIO... 2 CARACTERÍSTICAS DA CENTRAL... 3 COMPOSIÇÃO... 4 IDENTIFICAÇÃO... 5 DESCRIÇÃO DE CONFIGURAÇÃO... 7 DESCRIÇÃO DE FUNCIONAMENTO... 8 INSTALAÇÃO... 9 CONTATO...

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Kit de desenvolvimento ACEPIC Light

Kit de desenvolvimento ACEPIC Light Kit de desenvolvimento ACEPIC Light O Kit de desenvolvimento ACEPIC Light foi desenvolvido tendo em vista a utilização de vários periféricos numa só placa, evitando o uso de proat-boards e facilitando

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Conteúdo 1.Introdução Conhecendo o produto Elementos gerais Conectores Visor do equipamento

Conteúdo 1.Introdução Conhecendo o produto Elementos gerais Conectores Visor do equipamento Volume 1 Conhecendo o Equipamento V1 Conhecendo o Equipamento Conteúdo 1.Introdução...3 2.Conhecendo o produto...4 2.1.Elementos gerais...4 2.2.Conectores...5 2.3.Visor do equipamento...6 2.5. Capacidade

Leia mais

PROJETO DE CIRCUITOS INTEGRADOS VLSI

PROJETO DE CIRCUITOS INTEGRADOS VLSI Universidade Federal do Piauí Centro de Tecnologia Curso de Engenharia Elétrica PROJETO DE CIRCUITOS INTEGRADOS VLSI Prototipagem Prof. Marcos Zurita zurita@ufpi.edu.br www.ufpi.br/zurita Teresina - 2013

Leia mais

Manual para App Android e Software Windows

Manual para App Android e Software Windows INSTALAÇÃO DO APLICATIVO Acesse www.nse.com.br/downloads-manuais e baixe os programas de acordo com o dispositivo que irá utilizar. - Para uso em computador com Windows baixe Software Windows. - Para uso

Leia mais

MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL RV1

MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL RV1 MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL - 317 RV1 SÃO CAETANO DO SUL 06/06/2014 SUMÁRIO DESCRIÇÃO DO PRODUTO... 3 CARACTERÍSTICAS... 3 CONFIGURAÇÃO USB... 4 CONFIGURAÇÃO... 5 PÁGINA

Leia mais

Gps Datalogger. Guia do usuário

Gps Datalogger. Guia do usuário Gps Datalogger Guia do usuário 1 1 Descrição do produto Cabo para descarga de dados no computador e recarga de bateria Tecla ON : Liga ou desliga Tecla PI : Registro de pontos de interesse Tecla TX : Inativa

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

PSI2662 Projeto em Sistemas Eletrônicos Embarcados: Sensores e Atuadores. Apresentação do Kit Freescale Freedom FDRM-KL25Z e Portas de Entrada e Saída

PSI2662 Projeto em Sistemas Eletrônicos Embarcados: Sensores e Atuadores. Apresentação do Kit Freescale Freedom FDRM-KL25Z e Portas de Entrada e Saída PSI2662 Projeto em Sistemas Eletrônicos Embarcados: Sensores e Atuadores Apresentação do Kit Freescale Freedom FDRM-KL25Z e Portas de Entrada e Saída Escola Politécnica da Universidade de São Paulo Prof.

Leia mais

Arquitetura do Microcontrolador Atmega 328

Arquitetura do Microcontrolador Atmega 328 Governo do Estado de Pernambuco Secretaria de Educação Secretaria Executiva de Educação Profissional Escola Técnica Estadual Professor Agamemnon Magalhães ETEPAM Arquitetura do Microcontrolador Atmega

Leia mais

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO I Configuração do Hardware no Kit. 1-Abrir o Quartus II; 2-Fechar a janela "Getting Started with Quartus II, e abrir a janela do programador. Na barra de menus

Leia mais

Cerne Tecnologia e Treinamento (21) (11) MSN: Skipe: cerne-tec

Cerne Tecnologia e Treinamento (21) (11) MSN: Skipe: cerne-tec Tecnologia e Treinamento Tutorial para Testes na Placa Cerne Bluetooth (21) 4063-9798 (11) 4063-1877 E-mail: MSN: cerne-tec@hotmail.com Skipe: cerne-tec Kits Didáticos e Gravadores da Cerne Tecnologia

Leia mais

MANUAL DO USUÁRIO - INTEGRADOR MONIVOX BOOTLOADER

MANUAL DO USUÁRIO - INTEGRADOR MONIVOX BOOTLOADER GLOSSÁRIO App Aplicativo MoniVox MVX400 MoniVox Smart Device. Hardware proprietário da MoniVox que integra equipamentos radiocomunicadores com funcionalidades de transmissão e monitoramento de dados e

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

TouchPad e Teclado. Guia do Usuário

TouchPad e Teclado. Guia do Usuário TouchPad e Teclado Guia do Usuário Copyright 2007 Hewlett-Packard Development Company, L.P. Windows é uma marca registrada da Microsoft Corporation nos Estados Unidos. As informações contidas neste documento

Leia mais

Conhecendo o Equipamento

Conhecendo o Equipamento Conhecendo o Equipamento Conteúdo Introdução...03 1. Conhecendo o produto...04 1.1. Elementos gerais...04 1.2. Registro do ponto...04 1.3. Conectores da parte traseira...05 1.4. Teclado do equipamento...06

Leia mais

MANUAL DO USUÁRIO SISTEMA GERENCIADOR DE SENHAS VERSÃO SERVIDOR

MANUAL DO USUÁRIO SISTEMA GERENCIADOR DE SENHAS VERSÃO SERVIDOR MANUAL DO USUÁRIO SISTEMA GERENCIADOR DE SENHAS VERSÃO 22.4.0 SERVIDOR SUMÁRIO 1. INTRODUÇÃO 3 2. INSTALAÇÃO DO SOFTWARE 4 3. UTILIZAÇÃO DO SOFTWARE 6 ANEXO 1 GUIA DE REFERÊNCIA RÁPIDA 17 ANEXO 2 SOLUÇÕES

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

MICROCOMPUTADORES. Professor Adão de Melo Neto

MICROCOMPUTADORES. Professor Adão de Melo Neto MICROCOMPUTADORES Professor Adão de Melo Neto Sumário Organização Básica I (base) Organização Básica II (refinamento) Organização Básica III (Microcontrolador 8051) ORGANIZAÇÃO BÁSICA I Composição Unidade

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-3451- Projeto de Circuitos Lógicos Integrados Pequeno Manual da Família Cyclone II (2017) Conteúdo:

Leia mais

Manual de operação. Fonte de tensão e corrente digital FTC-111

Manual de operação. Fonte de tensão e corrente digital FTC-111 Manual de operação Fonte de tensão e corrente digital FTC-111 Versão 1.0 / fev 2011 Descrição do equipamento A fonte de tensão e corrente FTC-111 é um equipamento eletrônico desenvolvido para gerar e medir

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Estudo da placa de prototipagem Intel Galileo Gen2. Apostila de acompanhamento para o aluno.

Estudo da placa de prototipagem Intel Galileo Gen2. Apostila de acompanhamento para o aluno. Estudo da placa de prototipagem Intel Galileo Gen2 Apostila de acompanhamento para o aluno. 1 Conteúdo Conteúdo... 2 1. Introdução... 3 2. Informações Gerais... 3 3. Componentes da Placa... 4 4. Especificações

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa Cerne Data (21)4063-9798 (11)4063-1877 Skype: cerne-tec MSN: cerne-tec@hotmail.com www.cerne-tec.com.br Kits Didáticos e Gravadores da Cerne Tecnologia

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

Direto. ao Ponto. Uso da ferramenta Trace (formas de onda) no GX Works2 N o. DAP-QPLC-10. Rev. A

Direto. ao Ponto. Uso da ferramenta Trace (formas de onda) no GX Works2 N o. DAP-QPLC-10. Rev. A Direto ao Ponto Uso da ferramenta Trace (formas de onda) no GX Works2 N o. DAP-QPLC-10 Rev. A Revisões Data da Revisão Mar/2015 (A) Nome do Arquivo DAP-QPLC-10(A)_Trace_GXW2 Revisão Primeira edição 2 1.

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Suporta os microcontroladores: R. Leonardo da Vinci, Campinas/SP CEP F.: (19) Kit de Desenvolvimento ACEPIC 28

Suporta os microcontroladores: R. Leonardo da Vinci, Campinas/SP CEP F.: (19) Kit de Desenvolvimento ACEPIC 28 Suporta os microcontroladores: PIC16F870, PIC16F872, PIC16F873A, PIC16F876A, PIC16F886, PIC18F2420, PIC18F2520, PIC18F2525, PIC18F2620, PIC18F2455, PIC 18F2550 e outros com 28 pinos O Kit de desenvolvimento

Leia mais

Hardware. Computador. Computador. Computador. Evolução dos Computadores. Laboratório de Utilização de Microcomputadores (DCA0302) Aula 01

Hardware. Computador. Computador. Computador. Evolução dos Computadores. Laboratório de Utilização de Microcomputadores (DCA0302) Aula 01 Laboratório de Utilização de Microcomputadores (DCA0302) Diogo Pedrosa Hardware Aula 01 Computador Combinação de diversos dispositivos e circuitos digitais que podem executar uma seqüência de operações

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

GUIA RAPIDO DE INSTALAÇÃO D-SAT VINCULAÇÃO D-SAT AO CNPJ DO CONTRIBUINTE

GUIA RAPIDO DE INSTALAÇÃO D-SAT VINCULAÇÃO D-SAT AO CNPJ DO CONTRIBUINTE GUIA RAPIDO DE INSTALAÇÃO D-SAT Este manual ensinará como você deve realizar o procedimento de instalação da USB do D-SAT, Vinculação do número de série, Ativação e Associação de Assinatura do Aplicativo

Leia mais

Instruções importantes de segurança

Instruções importantes de segurança Manual do Usuário Por favor leia o Manual do Usuário cuidadosamente garantindo uma utilização correta deste produto e guarde este manual para uma referencia futura. Instruções importantes de segurança

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Tutorial 133 DUO Criação de telas e utilização do teclado

Tutorial 133 DUO Criação de telas e utilização do teclado Tutorial 133 DUO Criação de telas e utilização do teclado Este documento é propriedade da ALTUS Sistemas de Informática S.A., não podendo ser reproduzido sem seu prévio consentimento. Altus Sistemas de

Leia mais

SICILIANO TECNOLOGIA

SICILIANO TECNOLOGIA SICILIANO TECNOLOGIA Desenvolvimento de Projetos e Soluções www.sicilianotecnologia.com.br Manual da Placa Gravador AT89C51ED2-LP-RE2 - VER 1.0 Características: - Totalmente compatível com 8051; - Fácil

Leia mais

LAB01 Introdução ao Altera Quartus II: LEDs, lógica combinacional, e contador com visor de 7 segmentos utilizando a placa FPGA DE2 i

LAB01 Introdução ao Altera Quartus II: LEDs, lógica combinacional, e contador com visor de 7 segmentos utilizando a placa FPGA DE2 i DEP. DE ENG.ª ELECTROTÉCNICA E DE COMPUTADORES FACULDADE DE CIÊNCIAS E TECNOLOGIA UNIVERSIDADE DE COIMBRA LAB01 Introdução ao Altera Quartus II: LEDs, lógica combinacional, e contador com visor de 7 segmentos

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa de I/O Ethernet MODBUS (21)4063-9798 (11)4063-1877 Skype: cerne-tec MSN: cerne-tec@hotmail.com Cerne Kits Didáticos e Gravadores da Cerne Tecnologia

Leia mais

Projeto 00 - Instruções examine se fechado e aberto, Saída

Projeto 00 - Instruções examine se fechado e aberto, Saída Guia de estudos 02 (Individual) Aluno: Data: Curso: Engenharia Elétrica Período: Introdução à programação para automação Objetivos: Aplicar o conteúdo estudado nas variáveis do projeto; desenvolver circuito

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

INSTRUMENTOS PARA TESTES ELÉTRICOS. Funções: Qualquer função que utilize o módulo LPCT current input connector ou CCA 670

INSTRUMENTOS PARA TESTES ELÉTRICOS. Funções: Qualquer função que utilize o módulo LPCT current input connector ou CCA 670 Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: Schneider Modelo: SEPAM T42 Funções: Qualquer função que utilize o módulo LPCT current input connector ou CCA 670 Ferramenta Utilizada: CE-

Leia mais

Roteador de Banda Larga Guia de Instalação rápida

Roteador de Banda Larga Guia de Instalação rápida Roteador de Banda Larga Guia de Instalação rápida 1 Conectando o Roteador de Banda Larga Antes de conectar o hardware, assegure-se de desligar seu aparelho Ethernet, modem Cable / DSL e o Roteador de Banda

Leia mais

SP173 Bluetooth SoundBar sistema de som multifuncional

SP173 Bluetooth SoundBar sistema de som multifuncional SP173 Bluetooth SoundBar sistema de som multifuncional Painel frontal do subwoofer 1. Ligar e desligar 2. Aumentar volume 3. Diminuir volume 4. Menu de funções Painel traseiro do subwoofer 1. Entrada auxiliar

Leia mais

Clicando nesse botão aparecerá um menu no qual deve ser selecionada a porta serial em que o Rasther está ligada. Depois clique em OK.

Clicando nesse botão aparecerá um menu no qual deve ser selecionada a porta serial em que o Rasther está ligada. Depois clique em OK. Requisitos básicos do sistema - Windows 98 ou milenium. - Pentium II 300 MHz (no mínimo). - 64 MB RAM. - Porta serial disponível. - 15 MB de espaço livre no HD. - Resolução de vídeo de 800X600 mode 16

Leia mais

Lab Verilog Chaves, LEDs e Multiplexadores

Lab Verilog Chaves, LEDs e Multiplexadores MINISTÉRIO DA EDUCAÇÃO UTFPR UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ CAMPO MOURÃO Lab. 01 - Verilog Chaves, LEDs e Multiplexadores Projetos de Sistemas Integrados Prof. Roberto Ribeiro Neli Neste laboratório

Leia mais

SP173 Bluetooth SoundBar sistema de som multifuncional

SP173 Bluetooth SoundBar sistema de som multifuncional SP173 Bluetooth SoundBar sistema de som multifuncional Painel frontal do subwoofer 1. Ligar e desligar 2. Aumentar volume 3. Diminuir volume 4. Menu de funções Painel traseiro do subwoofer 1. Entrada auxiliar

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais