Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Tamanho: px
Começar a partir da página:

Download "Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues"

Transcrição

1 UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues 24 de Fevereiro de 2014 A placa DE1 A placa DE1 da Altera é um kit de desenvolvimento para apreciação da família de arranjos de portas programáveis em campo (FPGA) Cyclone II. Essa placa emprega um dispositivo de lógica programável da série EP2C20F484C7 que, agregado ao conjunto de periféricos do kit, permitem com que sejam desenvolvidas aplicações simples de lógica combinacional até complexas aplicações de lógica sequencial envolvendo processamento de sinais de áudio, vídeo e afins. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 1/12

2 Periféricos da placa DE1 Alguns dos periféricos presentes no kit DE1 são citados na sequência. 1 - Chaves de seleção de estado As chaves de seleção de estados são os periféricos de SW[0] a SW[9]. Seu estado lógico alto ocorre quando a chave estiver em sua posição para cima. Chave Liga/Desliga Periférico FPGA SW0 PIN_L22 SW1 PIN_L21 SW2 PIN_M22 SW3 PIN_V12 SW4 PIN_W12 SW5 PIN_U12 SW6 PIN_U11 SW7 PIN_M2 SW8 PIN_M1 SW9 PIN_L2 2 - Botões de pressionamento Os botões de pressionamento presentes no kit são os periféricos de nome KEY[0] a KEY[3]. Estas chaves são do tipo normalmente fechadas e, desta forma, geram um pulos negativo ao serem pressionadas. Sem pressionamento, essas chaves geram nível lógico alto. Botões Periférico FPGA KEY0 PIN_R22 KEY1 PIN_R21 KEY2 PIN_T22 KEY3 PIN_T LEDs de sinalização O kit DE1 possui dois grupos de LEDs associados a pinos de seu dispositivo de lógica programável. Os periféricos de nome LEDR[0] a LEDR[9] emitem luz na cor vermelha, periféricos de nome LEDG[0] a LEDG[7] emitem luz na cor verde. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 2/12

3 LED vermelho Periférico FPGA LEDR0 PIN_R20 LEDR1 PIN_R19 LEDR2 PIN_U19 LEDR3 PIN_Y19 LEDR4 PIN_T18 LEDR5 PIN_V19 LEDR6 PIN_Y18 LEDR7 PIN_U18 LEDR8 PIN_R18 LEDR9 PIN_R17 Periférico LEDG0 LEDG1 LEDG2 LEDG3 LEDG4 LEDG5 LEDG6 LEDG7 LED verdes FPGA PIN_U22 PIN_U21 PIN_V22 PIN_V21 PIN_W22 PIN_W21 PIN_Y22 PIN_Y21 4 Displays de 7 segmentos Estão presentes quatro displays de 7 segmentos na placa. Tais periféricos recebem a designação de HEX[0] a HEX[3] e são do tipo anodo comum, ou seja, cada um de seus segmentos é ativo com nível lógico baixo. O pino de acionamento do segmento de ponto decimal de cada um desses periféricos não foi conectado a um pino do FPGA e, desta forma, não pode ser manipulado. 3.3V a b c d e f g Para se trabalhar com esse tipo de display, se deve especificar o sinal de estado de acionamento para cada um dos 7 segmentos que compõem um dígito. A forma mais comum de aplicar este tipo de periférico é utilizá-lo como display numérico de 0 a 9, muito embora seja possível também se trabalhar com os dígitos hexadecimais de a a f. As duas próximas tabelas possuem a relação entre os dígitos hexadecimais e os respectivos estados lógicos necessários para reproduzi-los por meio dos displays de 7 presentes na placa de desenvolvimento. Dígito a b c d e f g Dígito a b c d e f g A ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 3/12

4 b c d E F As quatro tabelas seguintes possuem o mapa de associação dos segmentos dos displays presentes na placa de desenvolvimento. HEX0 HEX1 HEX2 HEX3 Periférico FPGA Periférico FPGA Periférico FPGA Periférico FPGA a PIN_J2 a PIN_E1 a PIN_G5 a PIN_F4 b PIN_J1 b PIN_H6 b PIN_G6 b PIN_D5 c PIN_H2 c PIN_H5 c PIN_C2 c PIN_D6 d PIN_H1 d PIN_H4 d PIN_C1 d PIN_J4 e PIN_F2 e PIN_G3 e PIN_E3 e PIN_L8 f PIN_F1 f PIN_D2 f PIN_E4 f PIN_F3 g PIN_E2 g PIN_D1 g PIN_D3 g PIN_D4 ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 4/12

5 Gravação do dispositivo FPGA Os passos para se gravar uma descrição em HDL ou um circuito esquemático no dispositivo de lógica programável presente na placa DE1 são os seguintes: i. Especificar, junto ao projeto, o FPGA com o qual trabalhar; ii. Associar as portas de entrada e de saída do circuito a pinos do FPGA; iii. Especificar o tratamento aos pinos não utilizados; iv. Configurar o processo de gravação; v. Efetuar a gravação. 1 - Especificação do FPGA com o qual trabalhar Para que o projeto em desenvolvimento por meio do Quartus II possa ser programado no FPGA do kit DE1, o dispositivo FPGA presente na placa deve ser especificado como o dispositivo de destino para o projeto. Para criar tal relacionamento, se deve executar os seguintes passos: 1 Na interface do assistente de criação de novo projeto ou na interface acessível por meio da opção de menu Associações Dispositivo e, então, opção Dispositivo, escolher a família Cyclone II; 2 Escolher o modelo correspondente na lista de dispositivos disponíveis. Na sequência, é exemplificada a especificação do dispositivo EP2C20F484C7. Interface acessada durante o assistente de criação de novo projeto Interface acessada através do item de menu Associações Dispositivo ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 5/12

6 2 - Associação das portas do circuito aos pinos do FPGA Para que os periféricos presentes na placa DE1 possam ser acessados por um circuito esquemático ou uma descrição em HDL, os pinos relacionados aos periféricos da placa com os quais o projeto interagirá devem ser associados com as portas de entrada e saída do projeto em desenvolvimento. Para se realizar o mapeamento em questão, deve-se abrir a interface de planejamento de pinos por meio do botão de planejamento de pinos presente na interface principal do Quartus II. Uma vez na interface de planejamento de pinos, basta associar cada uma das portas de entrada/saída do projeto com um respectivo pino do FPGA. Este relacionamento pode ser feito ou se escolhendo cada um dos pinos presentes na representação gráfica do FPGA ou se utilizando a tabela de relacionamento presente na divisão inferior da interface. Para realizar tal associação por meio da tabela, basta prosseguir à linha relacionada à porta a ser associada e, na respectiva coluna localização, fornecer o nome do pino ao qual a porta será associada. Este processo deve ser repetido para cada uma das portas do circuito em desenvolvimento. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 6/12

7 Uma segunda forma de realizar a associação de pinos é importar uma associação já existente. Neste caso, as portas presentes no circuito em desenvolvimento devem coincidir com as portas de entrada e de saída presentes na associação a ser importada. O modelo do dispositivo lógico programável em uso pelo circuito e o presente no arquivo de importação também devem coincidir. Para efetuar a importação de uma associação já existente, entre na interface de planejamento de pinos, acessível via botão de planejamento de pinos presente na interface principal do Quartus II ou por meio da opção de menu Associações Pinos. Com tal interface aberta, seleciona a opção de menu Associações Importar associações. Na interface que se abrirá, selecione o arquivo com as informações de associação de pinos e então confirme a importação. 3 - Tratamento aos pinos não utilizados Uma vez que a placa DE1 contém um conjunto de periféricos fisicamente conectados aos pinos de seu FPGA, projetos que não se utilizem de todos os pinos do dispositivo devem colocar em estado de inatividade (alta impedância) todos os pinos que não tenham aplicação no projeto. Cada um dos pinos de entrada/saída de um FPGA da família Cyclone II possui um estado de altaimpedância que pode ser ativado por meio de configuração no Quartus II. Esta configuração pode ser acessada por meio do botão Opções do Dispositivo e de Pinos, o qual é acessível por meio do item de menu Associações Dispositivo e então a opção Dispositivo. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 7/12

8 Já na interface que se abre ao se pressionar o botão citado, deve-se acessar a aba Pinos não utilizados e, então, escolher a opção Como entradas em estado de alta-impedância (As input tri-stated) na caixa de seleção Reserve pinos não utilizados como:. Importante: Uma vez efetuada a associação de pinos e a especificação do tratamento de pinos não utilizados, deve-se recompilar o projeto. 4 Configuração do processo de gravação Para que ocorra com êxito, o processo de gravação deve ser corretamente configurado. Com a placa DE1 corretamente conectada ao circuito de alimentação, ligada e então conectada ao computador por meio de seu cabo de conexão USB, acesse o botão programador presente na interface principal do aplicativo. Uma vez na interface de programação do dispositivo, acesse o botão Configuração de Hardware. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 8/12

9 Na interface que se abre, escolha o equipamento USB-Blaster na caixa de seleção do equipamento correntemente selecionado e então feche a interface por meio do botão fechar. Ainda na interface de gravação, garanta que o equipamento selecionado é o USB-Blaster e que o modo, JTAG. Na chave de seleção presente na placa, selecione a posição RUN. 5 Efetuando a gravação Uma vez configurado o processo de gravação, a efetiva programação do FPGA é requisitada por meio do botão de Início presente na interface de gravação. Se a gravação for efetuada com sucesso, a barra lateral de progresso sinalizará 100% e o projeto poderá, então, ser testado por meio da placa DE1 e seus periféricos. Tratamento aos pinos não utilizados: ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 9/12

10 Uma vez que a placa DE1 contém contem um conjunto de periféricos fisicamente conectados aos pinos de seu FPGA, projetos que não se utilizem de todos os pinos do dispositivo devem colocar em estado de inatividade todos os pinos que não tenham aplicação no projeto. Cada um dos pinos de entrada/saída de um FPGA da família Cyclone II possui um estado de altaimpedância que pode ser ativado por meio de configuração no Quartus II. Esta configuração pode ser acessada por meio do botão Opções do Dispositivo e de Pinos, o qual é acessível por meio do item de menu Associações Dispositivo e então a opção Dispositivo. Já na interface que se abre ao se pressionar o botão citado, deve-se acessar a aba Pinos não utilizados e, então, escolher a opção Como entradas em estado de alta-impedância (As input tri-stated) na caixa de seleção Reserve pinos não utilizados como:. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 10/12

11 Importante: Uma vez efetuada a associação de pinos e a especificação do tratamento de pinos não utilizados, deve-se recompilar o projeto. Configurar o processo de gravação: Para que ocorra com êxito, o processo de gravação deve ser corretamente configurado. Com a placa DE1 corretamente ao circuito de alimentação, ligada e então conectada ao computador por meio de seu cabo de conexão USB, acesse o botão programador presente na interface principal do aplicativo. Uma vez na interface de programação do dispositivo, acesse o botão Configuração de Hardware. Na interface que se abre, escolha o equipamento USB-Blaster na caixa de seleção do equipamento correntemente selecionado e então feche a interface por meio do botão fechar. Ainda na interface de gravação, garanta que o equipamento selecionado é o USB-Blaster e que o modo, JTAG. Efetuar a gravação: Uma vez configurado o processo de gravação, a efetiva programação do FPGA é requisitada por meio do botão de Início presente na interface de gravação. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 11/12

12 Se a gravação for efetuada com sucesso, a barra lateral de progresso sinalizará 100% e o projeto poderá, então, ser testado através da placa DE1. ELT029/ELT041/ELT512 Prof. Rodrigo de Paula Rodrigues 12/12

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento

Leia mais

Instituto Federal de Educação, Ciências e Tecnologia de Santa Catarina. Máquina de Bebidas

Instituto Federal de Educação, Ciências e Tecnologia de Santa Catarina. Máquina de Bebidas Instituto Federal de Educação, Ciências e Tecnologia de Santa Catarina Máquina de Bebidas Ana Paula Hoog Carlinhos Alves Ribeiro Júnior Thiago Alberto da Silva São José, 20 de Dezembro de 2016 Resumo Neste

Leia mais

Introdução ao Altera DE2. Edson Midorikawa

Introdução ao Altera DE2. Edson Midorikawa Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board

Leia mais

CONTROLADOR DE PRODUTOS EM DIFERENTES ESTEIRAS

CONTROLADOR DE PRODUTOS EM DIFERENTES ESTEIRAS MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA CAMPUS SÃO JOSÉ CONTROLADOR DE PRODUTOS EM DIFERENTES ESTEIRAS

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2 Introdução ao Projeto de Circuitos com Altera DE2 Edson Midorikawa Setembro/2012 Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

APRESENTAÇÃO DO KIT CPLD_EE01

APRESENTAÇÃO DO KIT CPLD_EE01 APRESENTAÇÃO DO KIT CPLD_EE01 O kit CPLD_EE01 foi desenvolvido para alunos de cursos técnicos, engenharia e desenvolvedores na área de circuitos digitais, o mesmo conta com alguns módulos que podem ser

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera.

Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Hallan William Veiga Sequência de passos de programação, gravação e configuração do kit DE0-Nano Altera. Inicialmente é necessária a instalação do software Quartus II 10.1 Web Edition. Este é disponibilizado

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Programação em Linguagem C

Programação em Linguagem C Programação em Linguagem C UNIDADE 1 Unidade I Nesta unidade aprenderemos: escrever o código no computador e transferir para o Arduino. ligar e desligar um pino; utilizar o delay (tempo); ler um pino para

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

PAINEL ELETRÔNICO DE MENSAGENS MANUAL DE OPERAÇÃO

PAINEL ELETRÔNICO DE MENSAGENS MANUAL DE OPERAÇÃO PAINEL ELETRÔNICO DE MENSAGENS MANUAL DE OPERAÇÃO ÍNDICE 1. INTRODUÇÃO 2. DESCRIÇÃO DO PAINEL 3. CONEXÃO 4. OPERAÇÃO 5. SOFTWARE DE CONFIGURAÇÃO 5.1. Instalando o software de configuração 5.2. Iniciando

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

ITEM Instituto de Tecnologia Emerson Martins MANUAL DO KIT FPGA-EE REV-01

ITEM Instituto de Tecnologia Emerson Martins MANUAL DO KIT FPGA-EE REV-01 ITEM Instituto de Tecnologia Emerson Martins MANUAL DO KIT FPGA-EE03 www.professoremersonmartins.com.br 2016 REV-01 MANUAL DE UTILIZAÇÃO DO KIT FPGA EE03 Primeiramente o ITEM INSTITUTO DE TECNOLOGIA EMERSON

Leia mais

Simulação de programas assembly para o HCS12 no CodeWarrior

Simulação de programas assembly para o HCS12 no CodeWarrior UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT044 Laboratório de Microcontroladores Simulação de programas assembly para o HCS12 no CodeWarrior

Leia mais

Manual para App Android e Software Windows

Manual para App Android e Software Windows INSTALAÇÃO DO APLICATIVO Acesse www.nse.com.br/downloads-manuais e baixe os programas de acordo com o dispositivo que irá utilizar. - Para uso em computador com Windows baixe Software Windows. - Para uso

Leia mais

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial

Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial Comércio e Manutenção de Produtos Eletrônicos proxsys@proxsys.com.br Conversor USB/Serial Instalação drive USB controlador CP-WS12/OEM e conversores USB/Serial PROXSYS Conversor USB/RS485 ou USB/RS232

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Lab Verilog Chaves, LEDs e Multiplexadores

Lab Verilog Chaves, LEDs e Multiplexadores MINISTÉRIO DA EDUCAÇÃO UTFPR UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ CAMPO MOURÃO Lab. 01 - Verilog Chaves, LEDs e Multiplexadores Projetos de Sistemas Integrados Prof. Roberto Ribeiro Neli Neste laboratório

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL RV1

MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL RV1 MANUAL DE INSTALAÇÃO E PROGRAMAÇÃO CONVERSOR - IP / USB / SERIAL - 317 RV1 SÃO CAETANO DO SUL 06/06/2014 SUMÁRIO DESCRIÇÃO DO PRODUTO... 3 CARACTERÍSTICAS... 3 CONFIGURAÇÃO USB... 4 CONFIGURAÇÃO... 5 PÁGINA

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Manual de operação. Fonte de tensão e corrente digital FTC-111

Manual de operação. Fonte de tensão e corrente digital FTC-111 Manual de operação Fonte de tensão e corrente digital FTC-111 Versão 1.0 / fev 2011 Descrição do equipamento A fonte de tensão e corrente FTC-111 é um equipamento eletrônico desenvolvido para gerar e medir

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

INCB01 GUIA RÁPIDO. Av.Pátria 1171, Porto Alegre RS

INCB01 GUIA RÁPIDO. Av.Pátria 1171, Porto Alegre RS 1 INCB01 GUIA RÁPIDO 2 SUMÁRIO SUMÁRIO... 2 CARACTERÍSTICAS DA CENTRAL... 3 COMPOSIÇÃO... 4 IDENTIFICAÇÃO... 5 DESCRIÇÃO DE CONFIGURAÇÃO... 7 DESCRIÇÃO DE FUNCIONAMENTO... 8 INSTALAÇÃO... 9 CONTATO...

Leia mais

MICROCOMPUTADORES. Professor Adão de Melo Neto

MICROCOMPUTADORES. Professor Adão de Melo Neto MICROCOMPUTADORES Professor Adão de Melo Neto Sumário Organização Básica I (base) Organização Básica II (refinamento) Organização Básica III (Microcontrolador 8051) ORGANIZAÇÃO BÁSICA I Composição Unidade

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

PAINEL ELETRÔNICO MANUAL DE OPERAÇÃO

PAINEL ELETRÔNICO MANUAL DE OPERAÇÃO PAINEL ELETRÔNICO MANUAL DE OPERAÇÃO INDICE 1. INTRODUÇÃO 2. DESCRIÇÃO DO PAINEL 3. CONEXÃO 3.1. Conexão para operação em modo Stand-alone 4. OPERAÇÃO 4.1. Em Modo Stand-Alone 4.2. Ajuste do Relógio 5.

Leia mais

MANUAL DO USUÁRIO - INTEGRADOR MONIVOX BOOTLOADER

MANUAL DO USUÁRIO - INTEGRADOR MONIVOX BOOTLOADER GLOSSÁRIO App Aplicativo MoniVox MVX400 MoniVox Smart Device. Hardware proprietário da MoniVox que integra equipamentos radiocomunicadores com funcionalidades de transmissão e monitoramento de dados e

Leia mais

GUIA RAPIDO DE INSTALAÇÃO D-SAT VINCULAÇÃO D-SAT AO CNPJ DO CONTRIBUINTE

GUIA RAPIDO DE INSTALAÇÃO D-SAT VINCULAÇÃO D-SAT AO CNPJ DO CONTRIBUINTE GUIA RAPIDO DE INSTALAÇÃO D-SAT Este manual ensinará como você deve realizar o procedimento de instalação da USB do D-SAT, Vinculação do número de série, Ativação e Associação de Assinatura do Aplicativo

Leia mais

SP173 Bluetooth SoundBar sistema de som multifuncional

SP173 Bluetooth SoundBar sistema de som multifuncional SP173 Bluetooth SoundBar sistema de som multifuncional Painel frontal do subwoofer 1. Ligar e desligar 2. Aumentar volume 3. Diminuir volume 4. Menu de funções Painel traseiro do subwoofer 1. Entrada auxiliar

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

GUIA RÁPIDO PARA INSTALAÇÃO DO CURUMIM

GUIA RÁPIDO PARA INSTALAÇÃO DO CURUMIM GUIA RÁPIDO PARA INSTALAÇÃO DO CURUMIM Para poder utilizar o Curumim pela primeira vez, é necessário ter instalado no computador os seguintes arquivos: 1. Java JRE - máquina virtual java 2. Driver do Rádio

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

SP173 Bluetooth SoundBar sistema de som multifuncional

SP173 Bluetooth SoundBar sistema de som multifuncional SP173 Bluetooth SoundBar sistema de som multifuncional Painel frontal do subwoofer 1. Ligar e desligar 2. Aumentar volume 3. Diminuir volume 4. Menu de funções Painel traseiro do subwoofer 1. Entrada auxiliar

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Cerne Tecnologia e Treinamento (21) (11) MSN: Skipe: cerne-tec

Cerne Tecnologia e Treinamento (21) (11) MSN: Skipe: cerne-tec Cerne Tecnologia e Treinamento Tutorial para Testes na Placa PICLAB16F877A (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br

Leia mais

Manual de Instalação, Configuração e Utilização do MC-SOFT

Manual de Instalação, Configuração e Utilização do MC-SOFT Manual de Instalação, Configuração e Utilização do MC-SOFT V 1.0 www.pinaculo.com.br (51) 3541-0700 Sumário APRESENTAÇÃO... 4 1. INSTALAÇÃO... 4 1.1 SISTEMA OPERACIONAL... 4 1.2 APLICATIVO... 4 2. OPERAÇÃO...

Leia mais

GUIA RÁPIDO PARA INSTALAÇÃO DO CURUMIM

GUIA RÁPIDO PARA INSTALAÇÃO DO CURUMIM GUIA RÁPIDO PARA INSTALAÇÃO DO CURUMIM 1. Certifique-se de ter todos os componentes listados abaixo: Um robô Curumim; Duas baterias próprias do Curumim; Um carregador de bateria com uma fonte de alimentação

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

Projeto 00 - Instruções examine se fechado e aberto, Saída

Projeto 00 - Instruções examine se fechado e aberto, Saída Guia de estudos 02 (Individual) Aluno: Data: Curso: Engenharia Elétrica Período: Introdução à programação para automação Objetivos: Aplicar o conteúdo estudado nas variáveis do projeto; desenvolver circuito

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Volume 1 Conhecendo o Equipamento

Volume 1 Conhecendo o Equipamento Volume 1 Conhecendo o Equipamento CONTEÚDO 1.Introdução...3 2.Conhecendo o equipamento...4 2.1.Elementos gerais... 4 2.2.Conectores...5 2.3.Visor do equipamento...6 2.4.Capacidade de armazenamento de colaboradores...6

Leia mais

Tutorial sobre o uso da ferramenta de autoria A Ferramenta de Autoria - Célula

Tutorial sobre o uso da ferramenta de autoria A Ferramenta de Autoria - Célula Tutorial sobre o uso da ferramenta de autoria A Ferramenta de Autoria - Célula Célula é uma Ferramenta de Autoria para o padrão Ginga. Auxilia no processo de criação da interatividade na TV Digital e é

Leia mais

Cerne Tecnologia e Treinamento. MSN: Skipe: cerne-tec.

Cerne Tecnologia e Treinamento.   MSN: Skipe: cerne-tec. Cerne Tecnologia e Treinamento Tutorial para Testes na Placa Cerne MMC (21) 3062-1711 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br Kits

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Manual de Operação do MGV 5. Manual de Operação do MGV5. Módulo Gerenciador de Vendas. Toledo

Manual de Operação do MGV 5. Manual de Operação do MGV5. Módulo Gerenciador de Vendas. Toledo Manual de Operação do MGV5 Módulo Gerenciador de Vendas Toledo 1 1.0 - O QUE É O MGV5... 3 1.1 - COMO ENTRAR NO PROGRAMA... 3 2.0 - IMPORTANDO ARQUIVO DE ITENS... 4 3.0 TRANSMITINDO OS ITENS PARA AS BALANÇAS...

Leia mais

Gps Datalogger. Guia do usuário

Gps Datalogger. Guia do usuário Gps Datalogger Guia do usuário 1 1 Descrição do produto Cabo para descarga de dados no computador e recarga de bateria Tecla ON : Liga ou desliga Tecla PI : Registro de pontos de interesse Tecla TX : Inativa

Leia mais

Cerne Tecnologia e Treinamento

Cerne Tecnologia e Treinamento Tecnologia e Treinamento Tutorial para Testes na Placa Cerne Scope (21)4063-9798 (11)4063-1877 Skype: cerne-tec MSN: cerne-tec@hotmail.com www.cerne-tec.com.br Kits Didáticos e Gravadores da Cerne Tecnologia

Leia mais

CÉLULA DE CARGA COM CONTROLADOR INTEGRADO LMPK / LMGK MANUAL DE INSTRUÇÕES

CÉLULA DE CARGA COM CONTROLADOR INTEGRADO LMPK / LMGK MANUAL DE INSTRUÇÕES CÉLULA DE CARGA COM CONTROLADOR INTEGRADO LMPK / LMGK MANUAL DE INSTRUÇÕES 1 SUMÁRIO 1 Instruções de segurança...3 2 Dimensões e Ligações...4 3 Escolha do equipamento... 6 3.1 Ligação de cabos...7 4 Estrutura

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Conteúdo 1.Introdução Conhecendo o produto Elementos gerais Conectores Visor do equipamento

Conteúdo 1.Introdução Conhecendo o produto Elementos gerais Conectores Visor do equipamento Volume 1 Conhecendo o Equipamento V1 Conhecendo o Equipamento Conteúdo 1.Introdução...3 2.Conhecendo o produto...4 2.1.Elementos gerais...4 2.2.Conectores...5 2.3.Visor do equipamento...6 2.5. Capacidade

Leia mais

Cerne Tecnologia e Treinamento. MSN: Skipe: cerne-tec.

Cerne Tecnologia e Treinamento.   MSN: Skipe: cerne-tec. Cerne Tecnologia e Treinamento Tutorial de Instalação da Placa Cerne Leds (21) 4063-9798 (11) 4063-1877 E-mail: cerne@cerne-tec.com.br MSN: cerne-tec@hotmail.com Skipe: cerne-tec www.cerne-tec.com.br Kits

Leia mais

AULA 6 - INTRODUÇÃO AO ARDUINO Revisão de Eletrônica Digital e introdução aos Sistemas Computacionais.

AULA 6 - INTRODUÇÃO AO ARDUINO Revisão de Eletrônica Digital e introdução aos Sistemas Computacionais. AULA 6 - INTRODUÇÃO AO ARDUINO Revisão de Eletrônica Digital e introdução aos Sistemas Computacionais. 6.1 Objetivo: O objetivo desta aula é mostrar aos alunos o que é e como funciona o Arduino e seus

Leia mais

Letreiro Digital. Modelo I7014B. Manual do Usuário

Letreiro Digital. Modelo I7014B. Manual do Usuário Letreiro Digital Modelo I7014B Índice Aplicativos... 1 Iniciando... 1 Ligar, Desligar e Hibernar... 2 Mensagens... 3 Ferramentas e Recursos... 3 Data/Hora... 5 Ferramentas e Recursos... 5 Agendamento...

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

Essa versão permite realizar a integração entre o Condomínio21 e Imobiliária21.

Essa versão permite realizar a integração entre o Condomínio21 e Imobiliária21. Integração do Condomínio21 ao Imobiliária21 1. Integração entre o Condomínio21 e o Imobiliária21 Processos no Condomínio21 Essa versão permite realizar a integração entre o Condomínio21 e Imobiliária21.

Leia mais

Kit de desenvolvimento ACEPIC Light

Kit de desenvolvimento ACEPIC Light Kit de desenvolvimento ACEPIC Light O Kit de desenvolvimento ACEPIC Light foi desenvolvido tendo em vista a utilização de vários periféricos numa só placa, evitando o uso de proat-boards e facilitando

Leia mais

Direto. ao Ponto. Uso da ferramenta Trace (formas de onda) no GX Works2 N o. DAP-QPLC-10. Rev. A

Direto. ao Ponto. Uso da ferramenta Trace (formas de onda) no GX Works2 N o. DAP-QPLC-10. Rev. A Direto ao Ponto Uso da ferramenta Trace (formas de onda) no GX Works2 N o. DAP-QPLC-10 Rev. A Revisões Data da Revisão Mar/2015 (A) Nome do Arquivo DAP-QPLC-10(A)_Trace_GXW2 Revisão Primeira edição 2 1.

Leia mais

Manual do Usuário. Easy Home

Manual do Usuário. Easy Home Manual do Usuário Easy Home Sumário Apresentação 2 Características 4 Especificações Técnicas 5 Instalação - Easy Home 6 Instalação - Aplicativo 7 Configuração - Aplicativo 8 Conectar ao Easy Home 9 Criar

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Operações aritméticas Prof. Rodrigo de Paula Rodrigues Valores lógicos possíveis 0 1 x z nível baixo, nível alto, nível

Leia mais

MANUAL TÉCNICO PROCEDIMENTO DE RECUPERAÇÃO DE FIRMWARE P/ FAMÍLIA WOM 5000

MANUAL TÉCNICO PROCEDIMENTO DE RECUPERAÇÃO DE FIRMWARE P/ FAMÍLIA WOM 5000 MANUAL TÉCNICO PROCEDIMENTO DE RECUPERAÇÃO DE FIRMWARE P/ FAMÍLIA WOM 5000 1. Introdução Eventualmente, caso o WOM 5000 seja desligado no meio de uma atualização de firmware ou restauração de backup (mesmo

Leia mais

Clicando nesse botão aparecerá um menu no qual deve ser selecionada a porta serial em que o Rasther está ligada. Depois clique em OK.

Clicando nesse botão aparecerá um menu no qual deve ser selecionada a porta serial em que o Rasther está ligada. Depois clique em OK. Requisitos básicos do sistema - Windows 98 ou milenium. - Pentium II 300 MHz (no mínimo). - 64 MB RAM. - Porta serial disponível. - 15 MB de espaço livre no HD. - Resolução de vídeo de 800X600 mode 16

Leia mais

CashDriver Android Instalação

CashDriver Android Instalação CashDriver Android Instalação Descreve os processos de instalação e carga inicial Baseado na Release 13.11.015 BD:3 Versão 1 13/11/2013 Resumo da apresentação Pré- condições Atualização e configuração

Leia mais

INSTRUMENTOS PARA TESTES ELÉTRICOS. Funções: Qualquer função que utilize o módulo LPCT current input connector ou CCA 670

INSTRUMENTOS PARA TESTES ELÉTRICOS. Funções: Qualquer função que utilize o módulo LPCT current input connector ou CCA 670 Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: Schneider Modelo: SEPAM T42 Funções: Qualquer função que utilize o módulo LPCT current input connector ou CCA 670 Ferramenta Utilizada: CE-

Leia mais

MANUAL DO KIT FPGA EE02-SOQ

MANUAL DO KIT FPGA EE02-SOQ EMERSON ELETRÔNICOS MANUAL DO KIT FPGA EE02-SOQ www.professoremersonmartins.com.br 2014 REV-01 MANUAL DE UTILIZAÇÃO DO KIT FPGA EE02_SOQ Primeiramente a Emerson Eletrônicos, em nome do professor Emerson

Leia mais

Função: 67P ou PTOC Direcional de Sobrecorrente de Fase. Ferramenta Utilizada: CE6003, CE-6006, CE-6706, CE-6710, CE-7012; CE-7024

Função: 67P ou PTOC Direcional de Sobrecorrente de Fase. Ferramenta Utilizada: CE6003, CE-6006, CE-6706, CE-6710, CE-7012; CE-7024 Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: INEPAR Modelo: PVI Função: 67P ou PTOC Direcional de Sobrecorrente de Fase Ferramenta Utilizada: CE6003, CE-6006, CE-6706, CE-6710, CE-7012;

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

Arquitetura do Microcontrolador Atmega 328

Arquitetura do Microcontrolador Atmega 328 Governo do Estado de Pernambuco Secretaria de Educação Secretaria Executiva de Educação Profissional Escola Técnica Estadual Professor Agamemnon Magalhães ETEPAM Arquitetura do Microcontrolador Atmega

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

TCI 120 Conversor de interface USB RS232

TCI 120 Conversor de interface USB RS232 TCI 120 Conversor de interface USB RS232 Manual de Instalação O conversor modelo TCI 120 é um conversor de interface USB - RS232 desenvolvido para emular uma porta serial RS232 à partir da interface USB

Leia mais

MANUAL INSTALAÇÃO E OPERAÇÃO SOFTWARE GUARDIÃO. Sistemas de Alarme de Incêndio Wireless DF0023-G2-23/DF0023-G3-23 SISTEMAS DE SEGURANÇA

MANUAL INSTALAÇÃO E OPERAÇÃO SOFTWARE GUARDIÃO. Sistemas de Alarme de Incêndio Wireless DF0023-G2-23/DF0023-G3-23 SISTEMAS DE SEGURANÇA MANUAL INSTALAÇÃO E OPERAÇÃO SOFTWARE GUARDIÃO Sistemas de Alarme de Incêndio Wireless DF0023-G2-23/DF0023-G3-23 SISTEMAS DE SEGURANÇA Identificação Software Guardião Software Terminal Armazenamento Funções

Leia mais

DEPARTAMENTO DE RECURSOS DA TECNOLOGIA DA INFORMAÇÃO DRTI DIVISÃO DE DESENVOLVIMENTO E PROGRAMAÇÃO - DIDP

DEPARTAMENTO DE RECURSOS DA TECNOLOGIA DA INFORMAÇÃO DRTI DIVISÃO DE DESENVOLVIMENTO E PROGRAMAÇÃO - DIDP DEPARTAMENTO DE RECURSOS DA TECNOLOGIA DA INFORMAÇÃO DRTI DIVISÃO DE DESENVOLVIMENTO E PROGRAMAÇÃO - DIDP SISTEMA DE AUTOMAÇÃO DE PRODUTIVIDADE - SAP MANUAL DO USUÁRIO VERSÃO 1.2 Este manual tem por objetivo

Leia mais

Sistemas - Kz_Config Manual do Usuário. Manual do usuário XPAcesso

Sistemas - Kz_Config Manual do Usuário. Manual do usuário XPAcesso Manual do usuário XPAcesso 1 1. Botões padrão Todas as telas de cadastro seguem o mesmo padrão de botões: Incluir Ativa opção para inclusão de novos registros no cadastro Alterar Prepara o registro para

Leia mais

Número do documento: 475 Classificação: Não avaliado Última atualização: Mon, Jun 5, :40 AM

Número do documento: 475 Classificação: Não avaliado Última atualização: Mon, Jun 5, :40 AM Como efetuar a instalação do Certificado Digital? Número do documento: 475 Classificação: Não avaliado Última atualização: Mon, Jun 5, 2017 10:40 AM O que é? O certificado digital é o atestado que assegura

Leia mais

Objetivo. Coletor de Dados Cadastro de Layout no Sismoura. Importar arquivo de coletor para o Sismoura.

Objetivo. Coletor de Dados Cadastro de Layout no Sismoura. Importar arquivo de coletor para o Sismoura. Coletor de Dados Objetivo Coletor de Dados Cadastro de Layout no Sismoura. Importar arquivo de coletor para o Sismoura. Pré - Requisito O software do Coletor de Dados deve estar instalado no computador

Leia mais

manual de instalação tr10-usb e tr11-usb

manual de instalação tr10-usb e tr11-usb tr10-usb e tr11-usb Feb/2014 Versão 1.01 Fevereiro de 2014 As informações contidas neste manual estão sujeitas a alterações sem prévio aviso e não representam compromisso por parte do fabricante. Nenhuma

Leia mais

INTRODUÇÃO AO ARDUINO DUE, CANAL DIGITAL, FUNÇÃO DELAY

INTRODUÇÃO AO ARDUINO DUE, CANAL DIGITAL, FUNÇÃO DELAY INTRODUÇÃO AO ARDUINO DUE, CANAL DIGITAL, FUNÇÃO DELAY INTRODUÇÃO A Plataforma Arduino é considerada plataforma aberta, ou seja, qualquer pessoa pode efetuar uma melhoria em um código, biblioteca e disponibilizá-la

Leia mais