ARQUITECTURA DE COMPUTADORES 1º EXAME

Tamanho: px
Começar a partir da página:

Download "ARQUITECTURA DE COMPUTADORES 1º EXAME"

Transcrição

1 MEEC Mestrado Integrado em Engenharia Electrótecnica e de Computadores Arquitectura de Computadores Nome: Nº: ARQUITECTURA DE COMPUTADORES 1º EXAME Ano Lectivo: 2013/2014 Data: 17 de Junho de 2014 Duração: 2h30 (Exame) INFORMAÇÕES GERAIS Identifique todas as folhas do enunciado com nome e nº. Mantenha na secretária apenas a sua identificação e uma caneta (azul ou preta), não são aceites testes ou exames realizados a lápis. Responda no espaço delimitado a seguir a cada questão. Utilize o verso da página, onde se encontra a pergunta, para rascunho ou para espaço adicional. A não entrega do teste tem o mesmo significado da não comparência ao teste. Justifique todas as respostas. 1 de 14

2 I Arquitectura de Ciclo Único FW PL Offset Controlo de Salto Registo PC Memória de Programa Flags F(V,N,Z,C) Registo de estado Bits de estado S(V,N,Z,C) Descodificador de Instruções UC SelA, SelB, SelD, FSUA, MA, MB, MM, FSUF, FW, MW, MD, PL Zero Fill Sel D Sel A Const. MA FSUF Flags DA Unidade de Armazenamento AA A 0 1 MUX A A DATA FSUA B FSUF Unidade Funcional UP BUS de Dados B BA 0 1 MUX B 0 1 MUX D FSUA Sel B Endereço DATA_OUT MB DATA_IN MD MM 0 1 MUX M DATA_IN MW Memória Dados DATA_OUT Tabela I: Micro-operações na UF e UA DA, AA, BA FSUF FSUA Função Cod. Função Flags Cod. Função Cod. R0 00 A N,Z,C,V 000 Não Escreve 00 R1 01 A + B N,Z,C,V 001 R[DA] = 0 01 R2 10 A N,Z,C,V 010 R[DA] = DATA 10 R3 11 A - B N,Z,C,V 011 R[DA] = R[AA] 11 A + 1 N,Z,C,V 100 A - 1 N,Z,C,V 101 NAND (A,B) Z 110 LSR (B) Z,C 111 End. Formatos das Instruções (16 bits): Formato A OpCode DR SA SB Formato B OpCode DR SA Const Formato C OpCode Offset Registos da UC: Flags: PC Registo de 16 bits As flags (V,N,Z,C) são guardadas num registo da UF. FW Enable de escrita das flags no registo de estado Não escreve, 0001 Escreve V, 0010 Escreve C, 0100 Escreve Z, 1000 Escreve N, Etc. Sinais de Controlo para a UC: PL1 PL0 00 Incrementa PC 01 Salto Incondicional 10 Salta se Z (Zero) 11 Salta se N (Negative) Sinais de Controlo para a Memória de Dados: MW (0- Não Escreve ou 1- Escreve) Escrita Síncrona e Leitura Assíncrona Unidade de Armazenamento: Contém 4 registos de 16 bits e implementa as micro-operações descritas por FSUA (Tabela I). Unidade Funcional: Tabela II: Instruções a Implementar OpCode Operação Descrição Bits de Estado 0000 MOV DR, SA R[DR] R[SA] N, Z, C, V 0001 MOVI DR, Const R[DR] Const N, Z, C, V 0010 ADDI DR, SA, Const R[DR] R[SA] + Const N, Z, C, V 0011 SUBI DR, SA, Const R[DR] R[SA] Const N, Z, C, V 0100 NEG DR, SA R[DR] R[SA] N, Z, C, V 0101 ADD DR, SA, SB R[DR] R[SA] + R[SB] N, Z, C, V 0110 SUB DR, SA, SB R[DR] R[SA] R[SB] N, Z, C, V 0111 INC DR, SA R[DR] R[SA] + 1 N, Z, C, V 1000 DEC DR, SA R[DR] R[SA] 1 N, Z, C, V 1001 LD DR, SB (DR) M[(SB)] não são alterados 1010 ST SB, SA M[(SB)] (SA) não são alterados 1011 ST SB, Const M[(SB)] Const não são alterados 1100 NAND DR, SA, SB (DR) (SA) NAND (SB) Z 1101 BR Offset (PC) (PC) + Offset não são alterados 1110 BR.Z Offset Z: (PC) (PC) + Offset não são alterados 1111 BR.N Offset N: (PC) (PC) + Offset não são alterados Implementa as micro-operações descritas por FSUF (Tabela I). Tempos de setup e propagação: Unidade Propagação Setup (escrita) UA 30ns 5ns MUX A/B/M/D 5ns - UF 225ns - Zero Fill 2ns - Descodificador 20ns - Controlo de salto 25ns - Memórias 200ns 150ns Registos PC/Status 2ns 1ns 2 de 14

3 Versão A Nome: Nº: II Arquitectura de Ciclo Múltiplo FW MS WE Status Register StatusBits S(Z,N,C,V) StatusBits MUX S Flags Flags Flags F(Z,N,C,V) PL IL PL DATA PC: Program counter UC Unidade de controlo PC MEM_DATA IR: Instruction Register IL OPCODE DA AA BA KNS Const. Extensão de sinal TD,DA WE MA TA,AA TA,AA TD,DA WE DATA PC A 0 1 TB,BA TB,BA Unidade de Armazenam. Const. B 0 1 MB UP Unidade de processamento PC 1 0 MM Dimensão da Instrução: (32 bits) Unidade de Armazenamento: 16 registos de uso geral (32 bits) 2 registos auxiliaries (32 bits) para uso ao nível da microprogramação. Registos de Controlo: PC Registo de 32 bits IR Registo de 32 bits NA MS FW PL PL IL IL CL CL 1 0 CAR DA AA BA MC Memória de u-ops (descodificação da u-op e geração dos sinais de controlo) MC MC MS TA TB MA MB FSUF MW MM MD MS TA TB MA MB FSUF MW MM MD TD TD WE WE FSUF FSUF MD A B Unidade Funcional D 0 1 DATA Z C N O Flags(3:0) MW WE Data MEM_DATA MEMÓRIA: Data_out Address Status Register: A escrita é controlada pelo sinal FW tal como no processador de ciclo único: 0000 Não escreve, 0001 Escreve V, 0010 Escreve C, 0100 Escreve Z, 1000 Escreve N, Etc. Sinais de controlo para a UC Sinais de controlo para a UP Program Counter (PC) Jump control (MUX S) Unidade de Armazenamento (UA) Unidade Funcional (UF) PL Operação MS Saída Operação TA/TB Saída A Saída B FSUF Operação 0 NOP 0XX0 0 CNT 00 R[AA] R[BA] 0000 A 1 LOAD PC 0XX1 1 JMP 01 AR0 AR A S(Z) JMP.Z 10 AR1 AR A+B Instruction Register (IR) 1001 S(N) JMP.N 11 SP SP 0011 A-B IL Operação 1010 not S(Z) JMP.NZ 0100 A-1 0 NOP 1011 not S(N) JMP.NN Unidade de Armazenamento (UA) A 1 LOAD IR 1100 F(Z) TJ.Z TD WE Registo de destino 0110 not B 1101 F(N) TJ.N XX A and B Control Address Register (CAR) 1110 not F(Z) TJ.NZ 00 1 R[DA] 1000 A or B CL Operação 1111 not F(N) TJ.NN 01 1 AR A xor B 0 INC CAR 10 1 AR lsl B 1 LOAD CAR 11 1 SP 1011 lsr B 1100 asl B 1101 asr B 1110 rol B 1111 ror B Conteúdo da memória de micro-instruções Endereço PL IL MC MS NA TA TB TD WE MA MB FSUF FW MM MW MD 00h (A) h h (A+B) h h (A-B) h h (-A) h h (A+1) h h (A-1) h h (IF) h h (EX) h de 14

4 III Arquitectura Pipeline Instruction Fetch (IF) Decode and Operand Fetch (DOF) Execute / Memory (EX/MEM) Write Back (WB) Leitura assincrona dos registos UNIDADE DE ARMAZENAMENTO (UA) Escrita sincrona nos registos AA BA B A D WR DA MEMÓRIA DE PROGRAMA (INST_MEM) Endereço NextPC Data UNIDADE DE CONTROLO DE SALTO (UCS) PC I REGISTOS: INSTRUCTION FETCH (IF) AA I BA KNS MUX B MB FS SH KNS UNIDADE DE DESCODIFICAÇÃO DE INSTRUÇÕES (UDI) MW MD KNS REGISTOS: DECODE & OPERAND FETCH (DOF) SA SB FS SH A B FS SH Address Data_in MW Result UNIDADE FUNCIONAL (UF) Flags (Z,N,C,V) Data_out MEMÓRIA DE DADOS (DATA_MEM) F REGISTOS: EXECUTE/MEMORY (EX/MEM) MUX D S F PL JB BC AD PL JB BC AD WR DA FW F S Leitura assincrona do registo de estado REGISTO DE ESTADO FW F Escrita sincrona das flags Instruções de dados RTL Assembly Exemplo NOP NOP NOP NOP Move DR SA MOV RD,SA MOV R2,R1 Increment DR SA + 1 INC RD,SA INC R1,R2 Add DR SA + SB ADD RD,SA,SB ADD R2,R3,R4 Subtract DR SA SB SUB RD,SA,SB SUB R0,R1,R2 Decrement DR SA 1 DEC RD,SA DEC R1,R1 AND DR SA & RB AND DR,SA,SB AND R3,R2,R5 OR DR SA RB OR DR,SA,SB OR R3,R2,R5 Exclusive OR DR SA RB XOR DR,SA,SB XOR R3,R2,R5 NOT DR!SA NOT DR,SA NOT R3,R2 Arithmetic Shift Right DR SA >> OP ASR DR,SA,OP ASR R2,R3,31 Arithmetic Shift Left DR SA << OP ASL DR,SA,OP ASL R2,R3,31 Load Immediate DR OP LDI DR,OP LDI R0,10011b Add Immediate DR SA + OP ADI DR,SA,OP ADI R0,R1,7h Load DR M[SA] LD DR,SA LD R2,R5 Store M[SA] SB ST SA,SB ST R5,R2 Instruções de dados RTL Assembler Exemplo Test and Branch on Zero if TR=0 (1) PC PC + AD TBZ TR,AD TBZ R7,-2 Test and Branch on Negative if TR<0 (1) PC PC + AD TBZ TR,AD TBZ R7,-2 Branch on Zero if S(Z)=1 (1) PC PC + AD BZ AD BZ -27 Branch on Non Zero if S(Z)=0 (1) PC PC + AD BNZ AD BNZ 22 Branch on Negative if S(Z)=1 (1) PC PC + AD BN AD BN 143 Branch on Overflow if S(Z)=1 (1) PC PC + AD BV AD BV 2 Jump PC PC + AD JMP AD JMP -4 (1) Else: PC PC +1 4 de 14

5 Versão A Nome: Nº: I Arquitectura de Ciclo Único (4 Val) 1) [2 Val] Para cada instrução da tabela indique os correspondentes sinais de controlo, constante e offset ou justifique por que razão não pode ser implementada na arquitectura de ciclo único apresentada. Instrução SelD SelA SelB FSUA MA MB FSUF FW MM MW MD PL CONST OFFSET DEC R3, R2 SUB R1, R2, M[R3] ADD R3, 2, 2 BR.N 500 2) [1 Val] Para a arquitectura apresentada indique, justificando, quais os limites para os valores da constante e do offset. 5 de 14

6 3) [1 Val] Pretende-se implementar o descodificador de instruções com recurso a uma memória. Indique, justificando, qual a dimensão da memória (nº de palavras e dimensão da palavra). Indique qual a posição de memória onde são guardados os sinais de controlo da instrução ADDI DR, SA, Const e qual o conteúdo dessa palavra de memória. 6 de 14

7 Versão A Nome: Nº: II Arquitectura de Ciclo Múltiplo (3 Val) 4) [1 Val] Considere as 2soluções apresentadas (programada e microprogramada fluxograma ASM) para realizar a cópia de um vector entre zonas de memória. Admita por simplicidade que tem 16 registos auxiliares para a microprogramação. Determine o nº de ciclos de relógio necessários para executar a cópia de um vector de 10 elementos em cada caso. ***** Solução Programada ***** ***** Solução MicroProgramada ***** MOV R1, 1000d MOV R2, 2000d MOV R3, 100d : MOV R15, M[R2] MOV M[R1], R15 INC R1, R1 INC R2, R2 DEC R3, R3 BR.NZ IF EX0 CP1 R16 <- R[DR] CP2 R17 <- R[SA] CP3 CP4 R19 <- M[R17] CP5 M[R16] <- R19 CP6 CAR = CPVECTOR R18 <- R[SB] R16 <- R16 +1 CP7 R17 <- R17 +1 CP8 R18 <- R18-1 IF Z=? 7 de 14

8 5) [2 Val] Apresente um fluxograma ASM para implementação da nova instrução, descrita abaixo, correspondente à determinação do valor mínimo de um vector. Admita por simplicidade que tem 16 registos auxiliares para a microprogramação. [ ] [ ] [ ] [ [ ]] [ [ ] [ ]] 8 de 14

9 Versão A Nome: Nº: III Arquitectura Pipeline (3 Val) 6) [3 Val] Admitindo que se pretende executar o seguinte troço de código na Arquitectura Pipeline, (a) indique todas as situações correspondentes a conflitos de dados e a conflitos de controlo. (b) Resolva esses conflitos (dados e controlo) por software e determine o ganho de eficiência (em função da variável VECTORSIZE) ao executar o código na Arquitectura Pipeline vs na Arquitectura Convencional, por simplicidade considere que o sinal de relógio do Pipeline é 4 vezes mais rápido que o da Arquitectura Convencional. (c) Determine como se alterariam os resultados se os conflitos de dados fossem resolvidos por forwarding. LDI R3, VECTORSIZE LDI R1, 1000d LDI R2, 2000d SWLOOP: LD R4, R1 ST R2, R4 INC R1, R1 INC R2, R2 DEC R3, R3 BNZ SWLOOP RET 9 de 14

10 10 de 14

11 Versão A Nome: Nº: IV Memória Cache e Memória Virtual [6 Val] Admita a execução da rotina apresentada, numa arquitectura com as seguintes características: (1) cada instrução é codificada em palavras de 32 bits; (2) a Memória Cache tem capacidade de armazenamento de 8 palavras de 32 bits e um tempo de acesso de 1 ns; (3) a Memória Principal tem um tempo de acesso de 10 ns, após uma falha na Cache; (4) Considere que a política de substituição é FIFO, ou seja, corresponde a substituir em primeiro lugar a instrução mais antiga na Cache; (5) Os mapeamentos a considerar são MAP1 (Mapeamento Directo, com blocos de 1 palavra) e MAP2 (Mapeamento Directo com blocos de 4 palavras). 7) [2 Val] Complete a tabela, para os 2 tipos de mapeamento em análise, preenchendo as colunas relativas ao número de falhas (MISS) e número de leituras da Cache (HIT), e determine para cada caso a Hit Rate e o tempo médio de acesso a cada instrução. End. End. Binário Código Map 1 Map 2 Hex. N. MISS N. HIT N. MISS N. HIT MOV R1, 1000d MOV R2, 2000d MOV R3, 10d 7C CPVECTOR: MOV R15, M[R2] MOV M[R1], R INC R1, R INC R2, R2 8C DEC R3, R BR.NZ CPVECTOR 8) [1 Val] Indique qual o conteúdo da Cache, para os 2 mapeamentos, após a 1º execução da instrução: BR.NZ CPVECTOR. Mapeamento 1 Mapeamento 2 Índice Etiqueta Instrução Índice Etiqueta Instrução palavra de 14

12 9) [1 Val] Considere um sistema de memória virtual que utiliza páginas de 4 Kbytes organizadas em palavras de 32 bits. No caso de um programa ocupar 200 Mbytes, determine o número mínimo de tabelas de páginas para o endereçar. 10) [2 Val] Nas comdições da alínea anterior (sistema de memória virtual que utiliza páginas de 4 Kbytes organizadas em palavras de 32 bits), admita que tem um endereço físico de 30 bits e um endereço virtual de 32 bits. Esboce uma estrutura de tabelas de páginas que permita fazer a tradução de endereço virtual em endereço físico. 12 de 14

13 Versão A Nome: Nº: V Assembly [4 Val] Pretende-se desenvolver um programa em assembly do P3 para controlo de um sistema de ar condicionado que deve funcionar de acordo com o diagrama de estados da figura. Considere o seguinte funcionamento: (1) Ritmo de actualização do estado T < 15 o C T > 25 o C (1.1) A estado deve ser actualizado de 5 em 5 minutos. O controlo dos intervalos de tempo deve ser implementado com o temporizador (interrupção 15). (1.2) A rotina de atendimento da interrupção do HEATING OFF COOLING temporizador deve ser designada por IntTemp. (2) Estado e Temperatura (2.1) O estado de funcionamento do sistema de ar condicionado deve ser guardado na posição de T > 20 o C T < 20 o C memória STATE (1 HEATING; 0 OFF; -1 COOLING). (2.2) O valor da temperatura deve ser lido do porto O estado inicial designa-se por OFF, ar condicionado desligado, dependendo da temperatura ambiente, o sistema pode mudar para o estado HEATING, se a temperatura descer abaixo dos 15ºC, ou para o estado COOLING, se a temperatura subir acima dos 25ºC. O sistema regressa ao estado OFF se estiver no estado HEATING e a temperatura subir acima dos 20ºC ou se estiver no estado COOLING e a temperatura descer abaixo dos 20ºC. TEMP e colocado na posição de memória TACTUAL. (3) Actualização do Estado (3.1) O programa principal deve executar o CALL para a rotina actualiza_estado só após cada interrupção gerada pelo temporizador. (3.2) A rotina actualiza_estado deve proceder à leitura da temperatura e à mudança de estado de acordo com o diagrama de estados apresentado. 11) [1 Val] Escreva a rotina de inicialização do sistema de interrupções (TVI, Máscara de Interrupções e Activação das Interrupções). 12) [1 Val] Escreva a rotina IntTemp. TIMER_COUNT EQU FFF6h TIMER_START EQU FFF7h 13 de 14

14 13) [2 Val] Escreva o programa principal e a rotina actualiza estado. 14 de 14

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES Nome: Nº: ARQUITECTURA DE COMPUTADORES Indique o tipo de prova: 1º EXAME (GRUPOS I, II, III, IV, V) 2º TESTE (GRUPOS IV, V, VI) Ano Lectivo: 2012/2013 Data: 1 de Junho de 2013 Duração: 2h30 (Exame) e 1h30

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES Indique o tipo de prova: 2º TESTE (GRUPOS III, IV e V) 1º EXAME (GRUPOS I, II, III, IV e V) Ano Lectivo: 2011/2012 Data: 9 de Junho de 2012 INFORMAÇÕES GERAIS Duração: 1h30

Leia mais

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Ano Lectivo: 200/2009 Data: 6 de Janeiro de 2006 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta

Leia mais

Arquitectura de Computadores MEEC (2014/15 2º Sem.)

Arquitectura de Computadores MEEC (2014/15 2º Sem.) Arquitectura de Computadores MEEC (2014/15 2º Sem.) Unidade de Controlo Prof. Nuno Horta PLANEAMENTO Introdução Unidade de Processamento Unidade de Controlo Arquitectura do Conjunto de Instruções Unidade

Leia mais

ARQUITECTURA DE COMPUTADORES 2º TESTE A

ARQUITECTURA DE COMPUTADORES 2º TESTE A ARQUITECTURA DE COMPUTADORES 2º TESTE A Ano Lectivo: 2006/2007 Data: 2 de Maio de 2007 INFORMAÇÕES GERAIS Duração: 2h00 1. Identifique todas as folhas do enunciado com nome e nº. 2. Mantenha na secretária

Leia mais

ARQUITECTURA DE COMPUTADORES 2º TESTE - RECUPERAÇÃO

ARQUITECTURA DE COMPUTADORES 2º TESTE - RECUPERAÇÃO ARQUITECTURA DE COMPUTADORES 2º TESTE - RECUPERAÇÃO Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2009 INFORMAÇÕES GERAIS Duração: 2h00 1. Identifique todas as folhas do enunciado com nome e nº. 2. Mantenha

Leia mais

MICROPROCESSADORES 2º TESTE - A

MICROPROCESSADORES 2º TESTE - A MICROPROCESSADORES 2º TESTE - A Ano Lectivo: 2005/2006 Data: 8 de Maio de 2006 Ano Curricular: 1º Ano 2º Semestre Duração: 2h00 INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 2º Trabalho de Laboratório Unidade de Controlo de Ciclo Único Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de Entrada/Saída

Leia mais

MICROPROCESSADORES 3º TESTE

MICROPROCESSADORES 3º TESTE Nº MICROPROCESSADORES 3º TESTE Ano Lectivo: 25/26 Data: 6 de Junho de 26 Ano Curricular: 1º Ano 2º Semestre Duração:2h INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e número antes

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta / Paulo Lopes Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 2º Trabalho de Laboratório Unidade de Controlo Hardwired Objectivo: Pretende-se que os alunos compreendam a metodologia usada na síntese, implementação e programação de um

Leia mais

Arquitectura de Computadores 3º TESTE

Arquitectura de Computadores 3º TESTE Arquitectura de Computadores 3º TESTE Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2008 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta (azul ou preta).

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Ano Lectivo de 2008/2009 2 o Semestre 1 o Teste 20 de Abril de 2009 Duração: 1h30+0h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 16 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS

MIPS Implementação. sw) or, slt. Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Datapath do MIPS MIPS Implementação Vamos examinar uma implementação que inclui um subconjunto de instruções do MIPS Instruções de leitura (load lw) e de escrita (store sw) Instruções aritméticas e lógicas

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de

Leia mais

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

INSTITUTO SUPERIOR TÉCNICO

INSTITUTO SUPERIOR TÉCNICO INSTITUTO SUPERIOR TÉCNICO Arquitectura de Computadores 2 o Semestre (2010/2011) LEIC-A, MEIC-A Departamento de Engenharia Informática 1 o Teste (A) - 7 de Abril de 2011 Duração: 1h30 + 0h30 Regras: O

Leia mais

Aula 14 Funcionamento de Processadores (Visão específica)

Aula 14 Funcionamento de Processadores (Visão específica) Aula 14 Funcionamento de Processadores (Visão específica) Com base nas aulas de Prof. José Delgado (autorizado) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Instituto Superior Técnico Departamento de Engenharia Electrotécnica e de Computadores Arquitectura de Computadores. 2º sem.

Instituto Superior Técnico Departamento de Engenharia Electrotécnica e de Computadores Arquitectura de Computadores. 2º sem. Instituto Superior Técnico Departamento de Engenharia Electrotécnica e de Computadores Arquitectura de Computadores º sem. / // º Teste Duração:, horas Grupo I Superpilining [ valores] Admita que tem um

Leia mais

ORGANIZAÇÃO DE COMPUTADORES

ORGANIZAÇÃO DE COMPUTADORES ORGANIZAÇÃO DE COMPUTADORES 2015/2016 1 o Semestre Repescagem 1 o Teste 1 de Fevereiro de 2016 Duração: 1h00 - O teste é sem consulta e sem calculadora. - Resolva o teste no próprio enunciado, o espaço

Leia mais

Universidade de São Paulo

Universidade de São Paulo Conjunto Instruções ARM Universidade de São Paulo Sistemas Embarcados Jorge Luiz e Silva ARM versões. ARM Linguagem Assembly. ARM modelo de programação. ARM organização de memória. ARM operação com dados.

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 5.0 - Português Aula N o 13: Título: P3 - Sumário: Unidade de do P3 (micro-sequenciador, teste de variáveis, memórias de mapeamento,

Leia mais

Arquitectura de Computadores 2007/2008 2º Semestre 1º Teste (A) - 30/04/2008. Folha de Respostas

Arquitectura de Computadores 2007/2008 2º Semestre 1º Teste (A) - 30/04/2008. Folha de Respostas Arquitectura de Computadores 00/00 º Semestre º Teste (A) - 0/0/00 Número: Nome: INSTRUÇÕES: - A duração da prova é de, horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido. - Identifique

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: do P3 - Microprogramação Unidade de do P3; Unidade de do P3 (micro-sequenciador,

Leia mais

Arquitectura de Computadores 2007/2008 2º Semestre 2º Teste (A) - 16/06/2008

Arquitectura de Computadores 2007/2008 2º Semestre 2º Teste (A) - 16/06/2008 rquitectura de Computadores 2007/2008 2º Semestre 2º Teste () - 16/06/2008 INSTUÇÕES: - duração da prova é de 1,5 horas. - esponda apenas nos locais indicados. - Identifique todas as folhas do teste com

Leia mais

Arquitectura De Computadores 2 TESTE

Arquitectura De Computadores 2 TESTE Ano Lectivo: 2/2 Duração: 2hOO Arquitectura De Computadores 2 TESTE Data: 2-2-2 INFORMAÇÕES GERAIS Identifique todas as folhas com o nome e número. Responda no espaço delimitado a seguir a cada questão.

Leia mais

I. Considere os seguintes processadores A e B, ambos com arquitectura em pipeline, conforme ilustrado

I. Considere os seguintes processadores A e B, ambos com arquitectura em pipeline, conforme ilustrado Arquitectura de Computadores 2 o Semestre (2013/2014) MEAer 2 o Teste - 19 de Junho de 2014 Duração: 1h30 + 0h15 Regras: Otesteésemconsulta, apenas tem disponível o anexo que lhe deverá ter sido entregue

Leia mais

ORGANIZAÇÃO DE COMPUTADORES

ORGANIZAÇÃO DE COMPUTADORES ORGANIZAÇÃO DE COMPUTADORES 2017/2018 1 o Semestre 1 o Teste 20 de Novembro de 2018 Duração: 1h15 - O teste é sem consulta e sem calculadora. - Resolva o teste no próprio enunciado, o espaço reservado

Leia mais

1. Considere a execução do seguinte troço de código num processador com ISA compatível

1. Considere a execução do seguinte troço de código num processador com ISA compatível 1. Considere a execução do seguinte troço de código num processador com ISA compatível com o MIPS64. loop: L.D F0,0(R1) ; F0 M[R1+0] L.D F2,8(R1) ; F2 M[R1+8] L.D F4,0(R2) ; F4 M[R2+0] SUB.D F0,F0,F2 ;

Leia mais

Arquitetura de Um Processador I

Arquitetura de Um Processador I Arquitetura de Um Processador I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-08 José Costa (DEI/IST) Arquitetura de

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre Repescagem 1º Teste - 11/07/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre Repescagem 1º Teste - 11/07/2007. Folha de Respostas Arquitectura de Computadores 00/00 º Semestre Repescagem 1º Teste - /0/00 Número: Nome: INSTRUÇÕES: - A duração da prova é de 1, horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

INSTITUTO SUPERIOR TÉCNICO

INSTITUTO SUPERIOR TÉCNICO INSTITUTO SUPERIOR TÉCNICO Arquitectura de Computadores 1 o Semestre (2011/2012) MEAer Departamento de Engenharia Electrotécnica e de Computadores 2 o Teste - 13 de Janeiro de 2012 Duração: 1h30 + 0h30

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Ano Lectivo de 2009/2010 2 o Semestre 1 o Teste 16 de Abril de 2010 Duração: 1h30+0h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 17 de Janeiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada MICROPROCESSADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de uma Unidade

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação QUAL É A INTERFACE ENTRE

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 28 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Sistemas Processadores e Periféricos Aula 2 - Revisão

Sistemas Processadores e Periféricos Aula 2 - Revisão Sistemas Processadores e Periféricos Aula 2 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

Organização de Unidades de Processamento

Organização de Unidades de Processamento Organização de Unidades de Processamento João Canas Ferreira Março de 2004 Contém figuras de: Computer Organization & Design, D. A Patterson e J. L. Hennessy, 2 a ed. (cap. 5) c JCF, 2004 ASPD (FEUP/LEEC)

Leia mais

Arquitetura de Um Processador III

Arquitetura de Um Processador III Arquitetura de Um Processador III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-15 José Costa (DEI/IST) Arquitetura

Leia mais

Organização e Projeto de Computadores

Organização e Projeto de Computadores Organização e Projeto de Computadores 1 Processamento no Computador Operações principais: leitura de instrução do programa leitura de dados processamento: execução da instrução escrita de resultado entrada/saída

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 26 de julho de 2013 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 30 minutos de tolerância. O estudante

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Trabalhos Práticos Arquitetura de Computadores I Prof. Fabian Vargas

Trabalhos Práticos Arquitetura de Computadores I Prof. Fabian Vargas Trabalhos Práticos Arquitetura de Computadores I Prof. Fabian Vargas Material a ser utilizado: Kits de desenvolvimento de sistemas microprocessados para aplicações em DSP Texas DSK-TMS320C67xx. Apresentação

Leia mais

AJProença, Sistemas de Computação, UMinho, 2017/18 1. Componentes (físicos) a analisar: a unidade de processamento / o processador:

AJProença, Sistemas de Computação, UMinho, 2017/18 1. Componentes (físicos) a analisar: a unidade de processamento / o processador: Introdução aos Sistemas de Computação (4) Estrutura do tema ISC 1. Representação de informação num computador 2. Organização e estrutura interna dum computador 3. Execução de programas num computador 4.

Leia mais

Arquitectura de Computadores 2011/2012 2º Semestre 1º Teste - Repescagem - 27/06/2012

Arquitectura de Computadores 2011/2012 2º Semestre 1º Teste - Repescagem - 27/06/2012 Arquitectura de Computadores 2011/2012 2º Semestre 1º Teste - Repescagem - 27/06/2012 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Preencha imediatamente o seu número e nome de forma

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Geração do Código Objecto (10.7 e 10.8) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 1 de Fevereiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

1.0 val. (b) Determine o CPI do processador na execução do código indicado. Num.: Nome: Pág. 1. Arquitecturas Avançadas de Computadores (AAC)

1.0 val. (b) Determine o CPI do processador na execução do código indicado. Num.: Nome: Pág. 1. Arquitecturas Avançadas de Computadores (AAC) 1. Considere um processador in-order com 5 estágios (IF, ID, EX1, EX2, WB) sem qualquer mecanismo de forwarding de dados, e o seguinte troço de código. Memória de instruções Operação MOV R1,R0 ; R1 R0

Leia mais

Conjunto de Instruções (ISA) I

Conjunto de Instruções (ISA) I Conjunto de Instruções (ISA) I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-16 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Introdução à arquitetura do hardware

Introdução à arquitetura do hardware Introdução à arquitetura do hardware Descodificação de endereços Núcleo do processador Microprogramação Arquitetura de Computadores Introdução à arquitetura do hardware 1 Espaço de endereçamento (com 16

Leia mais

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA Prof. Dr. Daniel Caetano 2012-1 Objetivos Conhecer o processador Compreender os registradores

Leia mais

Unidade Central de Processamento UCP (CPU)

Unidade Central de Processamento UCP (CPU) Unidade Central de Processamento UCP (CPU)! Arquitetura Convencional (Von Neumann) UCP BARRAMENTO MEMÓRIA PRINCIPAL ENTRADA E SAÍDA ! Visão geral da CPU UC - UNIDADE DE CONTROLE REGISTRADORES A B C D ALU

Leia mais

Exercícios resolvidos (aula de 4 de Maio) Resolução:

Exercícios resolvidos (aula de 4 de Maio) Resolução: Exercícios resolvidos (aula de 4 de Maio) 1. Um microprocessador gera endereços de memória de 14 bits. Desenhe um mapa de memória dos seus endereços de memória fronteira especificados em hexadecimal. Uma

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 25 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico

MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

Resolva as seguintes alíneas fazendo todas as simplificações que considerar convenientes, anotando-as junto da resposta.

Resolva as seguintes alíneas fazendo todas as simplificações que considerar convenientes, anotando-as junto da resposta. 1. Considere um processador com ISA compatível com o MIPS64 e com funcionamento superpelining (Fetch, Decode, Issue, Execute, Write-back), com mecanismos de forwarding de dados, sendo o estágio de Execute

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Tópicos Avançados de Arquitectura de Computadores (15) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

1. A pastilha do processador Intel possui uma memória cache única para dados e instruções. Esse processador tem capacidade de 8 Kbytes e é

1. A pastilha do processador Intel possui uma memória cache única para dados e instruções. Esse processador tem capacidade de 8 Kbytes e é 1. A pastilha do processador Intel 80486 possui uma memória cache única para dados e instruções. Esse processador tem capacidade de 8 Kbytes e é organizado com mapeamento associativo por conjuntos de quatro

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA 1.º Trabalho

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 27 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Arquitetura e Organização de Processadores. Aula 4. Pipelines

Arquitetura e Organização de Processadores. Aula 4. Pipelines Universidade Federal do Rio Grande do Sul Instituto de Informática Programa de Pós-Graduação em Computação Arquitetura e Organização de Processadores Aula 4 Pipelines 1. Introdução Objetivo: aumento de

Leia mais

2.º Teste de Introdução à Arquitetura de Computadores IST LEIC-Taguspark 1.º Semestre 2014/2015 Duração: 60 minutos 16 dezembro 2014

2.º Teste de Introdução à Arquitetura de Computadores IST LEIC-Taguspark 1.º Semestre 2014/2015 Duração: 60 minutos 16 dezembro 2014 2.º Teste de Introdução à Arquitetura de Computadores IST LEIC-Taguspark 1.º Semestre 2014/2015 Duração: 60 minutos 16 dezembro 2014 NOME NÚMERO 1. (1,5+1,5 + 1 valores) Considere o seguinte programa,

Leia mais

Microcontroladores e Interfaces

Microcontroladores e Interfaces Microcontroladores e Interfaces 3º Ano Eng. Electrónica Industrial Carlos A. Silva 2º Semestre de 2005/2006 http://www.dei.uminho.pt/lic/mint Aula A3 15 Mar 05 - M Datapath e a sua Unidade de Controlo

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

Conjunto de instruções. O Conjunto de Instruções. Conjunto de instruções. Instruções típicas. Instruções típicas. Instruções típicas

Conjunto de instruções. O Conjunto de Instruções. Conjunto de instruções. Instruções típicas. Instruções típicas. Instruções típicas Conjunto de instruções O Conjunto de Instruções ISA Instruction Set Architecture Alguns conceitos... Linguagem máquina Combinação de 0 s e 1 s organizados segundo palavras que são as instruções que o processador

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

PSI3441 Arquitetura de Sistemas Embarcados

PSI3441 Arquitetura de Sistemas Embarcados PSI31 Arquitetura de Sistemas Embarcados - Arquitetura do µprocessador Escola Politécnica da Universidade de São Paulo Prof. Gustavo Rehder grehder@lme.usp.br Prof. Sergio Takeo kofuji@usp.br Prof. Antonio

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 22: Título: Sumário: cache; cache por blocos; Política de substituição; Tratamento das operações de

Leia mais

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle William Stallings Organização de computadores digitais Capítulo 14 Operação da Unidade de Controle Microoperações o A execução de um programa em um computador se faz através: o do ciclo de Busca e Execução.

Leia mais

Microprocessadores. Arquitectura Geral de Microprocessador

Microprocessadores. Arquitectura Geral de Microprocessador Arquitectura Geral de Microprocessador António M. Gonçalves Pinheiro Departamento de Física Covilhã - Portugal pinheiro@ubi.pt Modelo de von Neuman Barramento de Endereços µprocessador Memória Entrada/Saída

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

Processador. Processador

Processador. Processador Departamento de Ciência da Computação - UFF Processador Processador Prof. Prof.Marcos MarcosGuerine Guerine mguerine@ic.uff.br mguerine@ic.uff.br 1 Processador Organização básica de um computador: 2 Processador

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Organização e Arquitetura Básicas

Leia mais

Arquitetura de Microprocessadores

Arquitetura de Microprocessadores Arquitetura de Computadores UNIDADE 4 Arquitetura de 05-02-2019 Sumário Arquitetura de um microprocessador; Acesso à memória; A arquitetura de von Neumann apenas mostra a conceção ideológica do que deveria

Leia mais

UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO

UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO UNIVERSIDADE FEDERAL FLUMINENSE INSTITUTO DE COMPUTAÇÃO DEPARTAMENTO DE CIÊNCIA DA COMPUTAÇÃO Arquiteturas de Computadores Turma :A1 Lista 1 Profa.: Simone Martins 1. Tentando projetar o futuro: a) Em

Leia mais

Arquitectura de Computadores 2007/2008 2º Semestre Repescagem 2º Teste - 03/07/2008

Arquitectura de Computadores 2007/2008 2º Semestre Repescagem 2º Teste - 03/07/2008 Arquitectura de Computadores 2007/2008 2º Semestre Repescagem 2º Teste - 03/07/2008 INSTRUÇÕES: - A duração da prova é de 1,5 horas. - Responda apenas nos locais indicados. - Identifique todas as folhas

Leia mais

SISTEMAS DIGITAIS II Enunciado do Projecto

SISTEMAS DIGITAIS II Enunciado do Projecto SISTEMAS DIGITAIS II Enunciado do Projecto Prof. José Sousa 2005/2006 Sumário Enunciado do Projecto: Processador de 1 bit...2 Regras e Calendário do Projecto...9 Estrutura do Relatório do Projecto...11

Leia mais

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA

ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA ARQUITETURA E ORGANIZAÇÃO DE COMPUTADORES A UNIDADE LÓGICA ARITMÉTICA E AS INSTRUÇÕES EM LINGUAGEM DE MÁQUINA Prof. Dr. Daniel Caetano 2012-2 Objetivos Conhecer o processador Compreender os registradores

Leia mais

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR Introdução Instruções são representadas em linguagem de máquina (binário) E x i s t e m l i n g u a g e n

Leia mais

Infra-estrutura de Hardware

Infra-estrutura de Hardware CPU: Estrutura e Funcionalidade Roteiro da Aula Ciclo de Instrução Projeto de uma CPU simples: conceitos Componentes básicos Leitura da instrução Operação entre registradores Acesso à memória Implementação

Leia mais

Integer Adder / Branch Resolution. Floating-Point Adder. Floating-Point/Integer Multiplier. Load/Store Unit

Integer Adder / Branch Resolution. Floating-Point Adder. Floating-Point/Integer Multiplier. Load/Store Unit Arquitectura de Computadores 2 o Semestre (2015/2016) MEAer 2 o Teste - 16 de Junho de 2016 Duração: 1h30 + 0h15 Regras: Resolva o teste no próprio enunciado. O espaço reservado para cada pergunta é suficiente

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de

Leia mais