Arquitetura de Um Processador III

Tamanho: px
Começar a partir da página:

Download "Arquitetura de Um Processador III"

Transcrição

1 Arquitetura de Um Processador III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico José Costa (DEI/IST) Arquitetura de Um Processador III 1

2 Sumário Micro-Programação José Costa (DEI/IST) Arquitetura de Um Processador III 2

3 Micro-Programação Carregamento da Instrução OPCODE OPCODE=00... OPCODE=01... OPCODE=10... OPCODE=11... Carregamento do Operando Carregamento dos Operandos Carregamento do Operando Execução da Instrução Execução da Instrução Execução da Instrução Execução da Instrução (PUSH) Escrita Resultado (TEST, CMP) Teste de Interrupções José Costa (DEI/IST) Arquitetura de Um Processador III 3

4 Carregamento do Registo de Instrução IF0: RI M[PC] ; Carrega RI IF1: PC PC+1, CAR ROMA[OPCODE] ; Incrementa PC José Costa (DEI/IST) Arquitetura de Um Processador III

5 Carregamento dos Operandos O registo EA (R12) é usado para guardar o endereço efectivo de um operando sempre que este operando provenha de memória (do inglês, effective address). O valor do primeiro operando deve ser copiado para o registo RD (R13). Após os cálculos, o resultado da operação deve ser guardado nesse mesmo registo. O valor do segundo operando deve ser copiado para o registo SD (R11), sempre que a instrução use dois operandos. José Costa (DEI/IST) Arquitetura de Um Processador III 5

6 Carregamento dos Operandos 1 Operando F1R0: RD R[IR1], CAR SBR ; Copia operando F1RI0: EA R[IR1] F1RI1: RD M[EA], CAR SBR F1IM0: RD M[PC] F1IM1: PC PC+1, CAR SBR F1IN0: EA M[PC] F1IN1: PC PC+1 F1IN2: EA EA+R[IR1] F1IN3: RD M[EA], CAR SBR ; Carrega o endereço ; Copia operando ; Carrega o operando ; Incrementa o PC ; Carrega a constante W ; Incrementa PC ; Guarda o endereço ; Carrega o operando José Costa (DEI/IST) Arquitetura de Um Processador III 6

7 Carregamento dos Operandos 2 Operandos (S = 0) F2R0: RD R[IR1] ; Copia primeiro operando F2R1: SD R[IR2], CAR SBR ; Copia segundo operando F2RI0: EA R[IR1] ; Guarda endereço F2RI1: RD M[EA] ; Copia primeiro operando F2RI2: SD R[IR2], CAR SBR ; Copia segundo operando F2IM0: RD M[PC] ; Copia primeiro operando F2IM1: PC PC+1 ; Incrementa o PC F2IM2: SD R[IR2], CAR SBR ; Copia segundo operando F2IN0: EA M[PC] ; Carrega a constante W F2IN1: PC PC+1 ; Incrementa o PC F2IN2: EA EA+R[IR1] ; Guarda o endereço F2IN3: RD M[EA] ; Copia primeiro operando F2IN: SD R[IR2], CAR SBR ; Copia segundo operando José Costa (DEI/IST) Arquitetura de Um Processador III 7

8 Carregamento dos Operandos 2 Operandos (S = 1) F2RS0: SD R[IR1] ; Copia segundo operando F2RS1: RD R[IR2], CAR SBR ; Copia primeiro operando F2RIS0: EA R[IR1] ; End. do seg. operando F2RIS1: SD M[EA] ; Copia segundo operando F2RIS2: RD R[IR2], CAR SBR ; Copia primeiro operando F2IMS0: SD M[PC] ; Copia segundo operando F2IMS1: PC PC+1 ; Incrementa PC F2IMS2: RD R[IR2], CAR SBR ; Copia primeiro operando F2INS0: EA M[PC] ; Carrega a constante W F2INS1: PC PC+1 ; Incrementa PC F2INS2: EA EA+R[IR1] ; Guarda endereço F2INS3: SD M[EA] ; Copia segundo operando F2INS: RD R[IR2], CAR SBR ; Copia primeiro operando José Costa (DEI/IST) Arquitetura de Um Processador III 8

9 Execução das Instruções ADD0: CAR ROMB[1 S M], SBR CAR+1 ; Copia Ops ADD1: RD RD+SD, FM Fh, CAR ROMB[0 1 M] ; Adição PUSH0: CAR ROMB[0 0 M], SBR CAR+1 ; Copia operando PUSH1: M[SP] RD, SP SP-1 ; Escrita PUSH2: CAR IH0 ; Salto para IH CALL0: CAR ROMB[0 0 M], SBR CAR+1 ; Carregar endereço CALL1: M[SP] PC, SP SP-1 ; Push do PC CALL2: PC RD ; Carregamento do PC CALL3: CAR IH0 ; Salto para IH José Costa (DEI/IST) Arquitetura de Um Processador III 9

10 Escrita do Resultado WBR0: R[WBR] RD ; Escrita em registo WBR1: CAR IH0 ; Tratamento de interrupções WBM0: S: CAR WBR0 ; Escrita em registo se S = 1 WBM1: M[EA] RD ; Escrita do resultado WBM2: CAR IH0 ; Tratamento de interrupções José Costa (DEI/IST) Arquitetura de Um Processador III 10

11 Teste de Interrupções IH0: R8 RE, EINT: CAR IF0 IH1: M[SP] R8, SP SP-1 IH2: M[SP] PC, SP SP-1, IAK 1 IH3: R9 VECTINT IH: R8 0200h IH5: R9 R9-R8 IH6: PC M[R9] IH7: RE R0, CAR IF0 ; Guarda RE José Costa (DEI/IST) Arquitetura de Um Processador III 11

12 Controlo do Circuito de Dados MA F WR SelAD SelB Reset A D 16 Banco de Registos B MB WM MUXA MUXB F W Memória Endereço 16 Escrita de dados BUS A FM 16 Leitura de dados F 5 FIN BUS B LF F FR RE Registo de Estado CULA 5 ULA Estado 000h FOUT MD MUXD LI F CONST RI José Costa (DEI/IST) Arquitetura de Um Processador III 12

13 Unidade de Controlo 0 LI OP REGISTO DE INSTRUÇÃO S M IR1 IR2 SR1 RI 15 RI 1 S INT E Z 0 C N O INT P 5 3 MUX6 RI 9 7 RI 6 1 z c EINT M 0 M MUXCOND CC MCOND SR2 MUX1 6 2 MEMÓRIA MEMÓRIA A B END A END B F 9 9 COND MUX NA F LS SBR 9 3 M MUX5 CAR +1 9 MEMÓRIA MUX3 MUX2 RI 15 M2 M2 S RB RAD WBR MUXRB MRB MUXAD MAD SelB SelAD Controlo 32 MICRO INSTRUÇÃO José Costa (DEI/IST) Arquitetura de Um Processador III 13

14 Unidade Lógica e Aritmética S S 3 S 2 S 1 S 0 Operação R A+B soma R A B subtracção R A+B + C soma com bit transporte R A B C subtracção com transporte negado R A 1 decremento R A+1 incremento R A C decremento, se C = R A+C incremento, se C = R A complemento R A B conjunção R A B disjunção R A B disjunção exclusiva R shr A deslocamento lógico à direita R shl A deslocamento lógico à esquerda R shra A deslocamento aritmético à direita R shla A deslocamento aritmético à esquerda R ror A rotação à direita R rol A rotação à esquerda R rorc A rotação à direita com transporte R rolc A rotação à esquerda com transporte R A transferência José Costa (DEI/IST) Arquitetura de Um Processador III 1

15 Formato das Micro-Instruções M5 S R 1 S I M M M M R A FM CALU R A B 2 2 K B RB W M W R MD M A D RAD F 1 M5 S R 1 S R 2 L S MCOND C C L I L F CONST/NA W R MD M A D RAD José Costa (DEI/IST) Arquitetura de Um Processador III 15

16 Sumário Micro-Programação José Costa (DEI/IST) Arquitetura de Um Processador III 16

17 Referências Arquitectura de Computadores: dos Sistemas Digitais aos Microprocessadores, G. Arroz, J. Monteiro, A. Oliveira, Secções 12.3 José Costa (DEI/IST) Arquitetura de Um Processador III 17

18 Próxima Aula Arquitetura de Um Processador (cont.) José Costa (DEI/IST) Arquitetura de Um Processador III 18

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 5.0 - Português Aula N o 13: Título: P3 - Sumário: Unidade de do P3 (micro-sequenciador, teste de variáveis, memórias de mapeamento,

Leia mais

Arquitetura de Um Processador I

Arquitetura de Um Processador I Arquitetura de Um Processador I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-08 José Costa (DEI/IST) Arquitetura de

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: do P3 - Microprogramação Unidade de do P3; Unidade de do P3 (micro-sequenciador,

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Estrutura Itera de um Processador (Cap. 8 e 12.1) José Moteiro Liceciatura em Egeharia Iformática e de Computadores Departameto de Egeharia Iformática (DEI) Istituto Superior

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Exemplos de Microprogramação (12.3); Família Intel x86 José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

Conjunto de Instruções (ISA) II

Conjunto de Instruções (ISA) II Conjunto de Instruções (ISA) II José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-18 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Conjunto de Instruções (ISA) I

Conjunto de Instruções (ISA) I Conjunto de Instruções (ISA) I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-16 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Sistemas Digitais (SD) Unidade Lógica e Aritmética

Sistemas Digitais (SD) Unidade Lógica e Aritmética Sistemas Digitais (SD) Unidade Lógica e Aritmética Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Somadores / Subtractores Comparadores 2 Planeamento Teste 1 3 Sumário Tema da aula de

Leia mais

Sistemas Digitais (SD) Unidade Lógica e Aritmética

Sistemas Digitais (SD) Unidade Lógica e Aritmética Sistemas Digitais (SD) Unidade Lógica e Aritmética Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Somadores / Subtractores Comparadores 2 Planeamento SEMANA TEÓRICA 1 TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Geração do Código Objecto (10.7 e 10.8) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 16 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Tópicos Avançados de Arquitectura de Computadores (15) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: (ULA). 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Sistemas Digitais (SD)

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 1 de Fevereiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 17 de Janeiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 28 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de Entrada/Saída

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 3º Trabalho de Laboratório Introdução à Microprogramação e ao Processador P3 Objetivo: Pretende-se com este trabalho conferir aos alunos um conjunto de competências relacionadas

Leia mais

Circuitos Aritméticos II

Circuitos Aritméticos II Circuitos Aritméticos II José Costa Itrodução à Arquitetura de Computadores Departameto de Egeharia Iformática (DEI) Istituto Superior Técico 2013-10-11 José Costa (DEI/IST) Circuitos Aritméticos II 1

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 3º Trabalho de Laboratório Introdução à Microprogramação e ao Processador P3 Objetivo: Pretende-se com este trabalho conferir aos alunos um conjunto de competências relacionadas

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

INSTITUTO SUPERIOR TÉCNICO

INSTITUTO SUPERIOR TÉCNICO INSTITUTO SUPERIOR TÉCNICO Arquitectura de Computadores 1 o Semestre (2011/2012) MEAer Departamento de Engenharia Electrotécnica e de Computadores 2 o Teste - 13 de Janeiro de 2012 Duração: 1h30 + 0h30

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta / Paulo Lopes Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 26 de julho de 2013 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 30 minutos de tolerância. O estudante

Leia mais

Guia de Laboratório 2013 / 2014

Guia de Laboratório 2013 / 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Guia de Laboratório 2013 / 201 INSTITUTO SUPERIOR TÉCNICO 2 Plano das aulas 1ª Aula: Resolução de Exercícios

Leia mais

ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005

ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005 ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005 POR AVOR: não escreva ou danifique este anexo devolva-o no final do exame Registos O processador P3 contém os seguintes

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 27 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 25 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Grupo I (5 valores) CD AB

Grupo I (5 valores) CD AB Grupo I (5 valores) Ministério da Ciência, Tecnologia e Ensino Superior 1. [2] Considere o seguinte mapa de Karnaugh da função F(A,B,C,D). Simplifique a função de modo a obter uma soma de produtos, e um

Leia mais

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES Ministério da Ciência, Tecnologia e Ensino Superior p-fólio U.C. 21010 Arquitectura de Computadores 26 de julho de 2018 INSTRUÇÕES O tempo de resolução do p-fólio é de uma hora e trinta minutos (90 minutos)..

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Fundamentos (9, 10.1 a 10.3) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Leia mais

Representação Digital da Informação II

Representação Digital da Informação II Representação Digital da Informação II José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-09-27 José Costa (DEI/IST) Representação

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Sistemas de Memória I

Sistemas de Memória I Sistemas de Memória I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-22 José Costa (DEI/IST) Sistemas de Memória I 1

Leia mais

Sistemas de Entrada e Saídas III

Sistemas de Entrada e Saídas III Sistemas de Entrada e Saídas III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2014-12-10 José Costa (DEI/IST) Sistemas de

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010

Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Aula 14 Funcionamento de Processadores (Visão específica)

Aula 14 Funcionamento de Processadores (Visão específica) Aula 14 Funcionamento de Processadores (Visão específica) Com base nas aulas de Prof. José Delgado (autorizado) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm

Leia mais

Arquitectura de Computadores 3º TESTE

Arquitectura de Computadores 3º TESTE Arquitectura de Computadores 3º TESTE Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2008 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta (azul ou preta).

Leia mais

Arquitectura de Computadores MEEC (2014/15 2º Sem.)

Arquitectura de Computadores MEEC (2014/15 2º Sem.) Arquitectura de Computadores MEEC (2014/15 2º Sem.) Unidade de Controlo Prof. Nuno Horta PLANEAMENTO Introdução Unidade de Processamento Unidade de Controlo Arquitectura do Conjunto de Instruções Unidade

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Tutorial do P3 Referência 2014 / 2015 INSTITUTO SUPERIOR TÉCNICO Paulo Lopes, José Costa 2014/2015 2 1.

Leia mais

ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3

ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3 ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3 POR FAVOR: não escreva ou danifique este anexo devolva-o no final do exame Registos O processador P3 contém os seguintes

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores rquitectura de omputadores Uidade de Processameto de um Processador (5.1 a 5.4, 8.4) José Moteiro Liceciatura em Egeharia Iformática e de omputadores Departameto de Egeharia Iformática (DEI) Istituto uperior

Leia mais

Introdução à Arquitetura de Computadores. Coletânea de Problemas. Janeiro 2014

Introdução à Arquitetura de Computadores. Coletânea de Problemas. Janeiro 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Coletânea de Problemas Janeiro 2014 (Versão 2.1) INSTITUTO SUPERIOR TÉCNICO - Introdução à Arquitectura

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Introdução à Arquitetura de Computadores. Coletânea de Problemas. Outubro 2014

Introdução à Arquitetura de Computadores. Coletânea de Problemas. Outubro 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Coletânea de Problemas Outubro 2014 (Versão 3.0) INSTITUTO SUPERIOR TÉCNICO - Introdução à Arquitectura

Leia mais

Organização de Computadores

Organização de Computadores Organização de Computadores Aula 25 Conjunto de Instruções: Características e Funções Rodrigo Hausen 10 de novembro de 2011 http://cuco.pro.br/ach2034 1/92 Apresentação 1. Bases Teóricas 2. Organização

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Arquitetura de Um Computador

Arquitetura de Um Computador Arquitetura de Um Computador José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-09-20 José Costa (DEI/IST) Arquitetura de Um

Leia mais

MICROPROCESSADORES 2º TESTE - A

MICROPROCESSADORES 2º TESTE - A MICROPROCESSADORES 2º TESTE - A Ano Lectivo: 2005/2006 Data: 8 de Maio de 2006 Ano Curricular: 1º Ano 2º Semestre Duração: 2h00 INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 08: Título: Sumário: Programação em (programação estruturada, comentários, constantes); Exemplos de

Leia mais

Introdução à arquitetura do hardware

Introdução à arquitetura do hardware Introdução à arquitetura do hardware Descodificação de endereços Núcleo do processador Microprogramação Arquitetura de Computadores Introdução à arquitetura do hardware 1 Espaço de endereçamento (com 16

Leia mais

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR

PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR PARTE II - CONJUNTO DE INSTRUÇÕES ARQUITETURA DE COMPUTADORES ANTONIO RAMOS DE CARVALHO JÚNIOR Introdução Instruções são representadas em linguagem de máquina (binário) E x i s t e m l i n g u a g e n

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre Repescagem 1º Teste - 11/07/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre Repescagem 1º Teste - 11/07/2007. Folha de Respostas Arquitectura de Computadores 00/00 º Semestre Repescagem 1º Teste - /0/00 Número: Nome: INSTRUÇÕES: - A duração da prova é de 1, horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de

Leia mais

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle William Stallings Organização de computadores digitais Capítulo 14 Operação da Unidade de Controle Microoperações o A execução de um programa em um computador se faz através: o do ciclo de Busca e Execução.

Leia mais

PSI3441 Arquitetura de Sistemas Embarcados

PSI3441 Arquitetura de Sistemas Embarcados PSI31 Arquitetura de Sistemas Embarcados - Arquitetura do µprocessador Escola Politécnica da Universidade de São Paulo Prof. Gustavo Rehder grehder@lme.usp.br Prof. Sergio Takeo kofuji@usp.br Prof. Antonio

Leia mais

AJProença, Sistemas de Computação, UMinho, 2017/18 1. Componentes (físicos) a analisar: a unidade de processamento / o processador:

AJProença, Sistemas de Computação, UMinho, 2017/18 1. Componentes (físicos) a analisar: a unidade de processamento / o processador: Introdução aos Sistemas de Computação (4) Estrutura do tema ISC 1. Representação de informação num computador 2. Organização e estrutura interna dum computador 3. Execução de programas num computador 4.

Leia mais

Computador Cleópatra

Computador Cleópatra ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES Computador Cleópatra Interface Hardware e Software Alexandre Amory Edson Moreno 2 / 9 Nas Aulas Anteriores Vimos como descrever e implementar circuitos combinacionais

Leia mais

CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP)

CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP) CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP) 1 LINGUAGENS Constituída de seqüência de zeros (0) e uns (1) Cada instrução em ASSEMBLY constitui-se em um mnemônico (uma forma fácil de se lembra) de uma

Leia mais

ção de Computadores I

ção de Computadores I Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores I Aula 2 1. Projeto da Arquitetura

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Ano Lectivo de 2008/2009 2 o Semestre 1 o Teste 20 de Abril de 2009 Duração: 1h30+0h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue

Leia mais

EEC2104 Microprocessadores

EEC2104 Microprocessadores EEC2104 Microprocessadores Edição 2005/2006 Arquitectura de um microprocessador básico (Qual o hardware necessário para executar instruções e poder chamar subrotinas?) Uso da memória Guardar instruções

Leia mais

Sistemas de Memória III

Sistemas de Memória III Sistemas de Memória III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-29 José Costa (DEI/IST) Sistemas de Memória III

Leia mais

ção de Computadores I

ção de Computadores I Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores I Aula 4 1. Projeto da Arquitetura

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

Parte Operativa x Controle Aula 12. Prof. Jadir Eduardo Souza Lucas Universidade Federal do Espírito Santo Departamento de Informática

Parte Operativa x Controle Aula 12. Prof. Jadir Eduardo Souza Lucas Universidade Federal do Espírito Santo Departamento de Informática x Controle Aula 12 Microarquitetura Parte Operativa (ou Caminho de Dados) Constituída de todos os componentes responsáveis pela execução das operações elementares sobre os dados (transformações nos dados)

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

Projetos Digitais e Microprocessadores 1 o Semestre de 2005 Prof. Luis Allan Künzle Prova Final 05/07/2005 Prova sem consulta.

Projetos Digitais e Microprocessadores 1 o Semestre de 2005 Prof. Luis Allan Künzle Prova Final 05/07/2005 Prova sem consulta. Bacharelado em Ciência da Computação DINF / UFPR Projetos Digitais e Microprocessadores o Semestre de 5 Prof. Luis Allan Künzle Prova Final 5/7/5 Prova sem consulta. [Questão - Peso,5] Conecte o registrador

Leia mais

Histórico de desenvolvimento de computadores Prof. Luís Caldas Aula 02 Processador de uso geral

Histórico de desenvolvimento de computadores Prof. Luís Caldas Aula 02 Processador de uso geral Processador de uso geral 1. Introdução: Um sistema digital completo é constituído de uma unidade controle e o bloco operacional. Na figura a seguir alguns elementos externos necessários para completar

Leia mais

Símbolos e abreviaturas utilizadas na descrição das instruções

Símbolos e abreviaturas utilizadas na descrição das instruções Símbolos e abreviaturas utilizadas na descrição das instruções acumulador registo A addr endereço de 16 bits data quantidade de 8 bits data 16 quantidade de 16 bits byte 2 segundo byte da instrução byte

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2014-09-17 José Costa (DEI/IST) Introdução

Leia mais

Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético

Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético Microprocessadores I Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético 4.1 - Grupos de Instruções As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

William Stallings Arquitetura e Organização de Computadores 8 a Edição

William Stallings Arquitetura e Organização de Computadores 8 a Edição William Stallings Arquitetura e Organização de Computadores 8 a Edição Capítulo 10 Conjuntos de instruções: Características e funções slide 1 O que é um conjunto de instruções? A coleção completa de instruções

Leia mais

MICROPROCESSADORES 3º TESTE

MICROPROCESSADORES 3º TESTE Nº MICROPROCESSADORES 3º TESTE Ano Lectivo: 25/26 Data: 6 de Junho de 26 Ano Curricular: 1º Ano 2º Semestre Duração:2h INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e número antes

Leia mais

Representação Digital da Informação I

Representação Digital da Informação I Representação Digital da Informação I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-09-25 José Costa (DEI/IST) Representação

Leia mais

Neander - características

Neander - características NEANDER x RAMSES (Ou porque da necessidade de upgrade :-) Texto original: ftp://ftp.inf.ufrgs.br/pub/inf108/ramses-instrucoes.ppt Neander - características Largura de dados e endereços de 8 bits Dados

Leia mais

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10

Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Tópicos Avançados em Sistemas Computacionais: Infraestrutura de Hardware Aula 10 Prof. Max Santana Rolemberg Farias max.santana@univasf.edu.br Colegiado de Engenharia de Computação QUAL É A INTERFACE ENTRE

Leia mais

Estrutura do tema ISA do IA-32

Estrutura do tema ISA do IA-32 Análise do Instruction Set Architecture (2) Acesso a operandos no IA-32: sua localização e modos de acesso Estrutura do tema ISA do IA-32 1. Desenvolvimento de programas no IA-32 em Linux 2. Acesso a operandos

Leia mais

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada MICROPROCESSADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de uma Unidade

Leia mais

CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP)

CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP) CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP) 1 LINGUAGENS Conhecida pelo PROCESSADOR Conhecida pelo Usuário COMPILADOR LINGUAGEM DE ALTO NÍVEL LINGUAGEM ASSEMBLY 2 INSTRUÇÕES EM ASSEMBLY Para programar

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

Organização e Arquitetura de Computadores INTRODUÇÃO

Organização e Arquitetura de Computadores INTRODUÇÃO Organização e Arquitetura de Computadores INTRODUÇÃO A Arquitetura de Computadores trata do comportamento funcional de um sistema computacional, do ponto de vista do programador (ex. tamanho de um tipo

Leia mais

CONJUNTO DE INSTRUÇÕES

CONJUNTO DE INSTRUÇÕES CONJUNTO DE INSTRUÇÕES 1 CARACTERÍSTICAS DE INSTRUÇÕES DE MÁQUINA Quando um programador usa uma linguagem de alto-nível, como C, muito pouco da arquitetura da máquina é visível. O usuário que deseja programar

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO DEPARTAMENTO DE CIÊNCIAS EXATAS E NATURAIS CURSO DE CIÊNCIA DA COMPUTAÇÃO Arquitetura e Organização de Computadores Conjunto de Instruções Prof. Sílvio Fernandes

Leia mais

Sistemas de Entrada e Saídas II

Sistemas de Entrada e Saídas II Sistemas de Entrada e Saídas II José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-12-11 José Costa (DEI/IST) Sistemas de Entrada

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Organização e Arquitetura Básicas

Leia mais