Sistemas Digitais (SD)

Tamanho: px
Começar a partir da página:

Download "Sistemas Digitais (SD)"

Transcrição

1 Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo Bits de estado (flags) Unidade de processamento Resultados Operandos

2 Aula Anterior Na aula anterior: Projecto de máquinas de estados microprogramadas: com endereçamento explícito com endereçamento implícito Exemplos Prof. Nuno Roma Sistemas Digitais 2014/15 2

3 Planeamento SEMANA TEÓRICA 1 TEÓRICA 2 PROBLEMAS/LABORATÓRIO 15/Set a 20/Set Introdução Sistemas de Numeração e Códigos 22/Set a 27/Set Álgebra de Boole Elementos de Tecnologia P0 29/Set a 4/Out Funções Lógicas Minimização de Funções Booleanas (I) L0 6/Out a 11/Out Minimização de Funções Booleanas (II) Def. Circuito Combinatório; Análise Temporal P1 13/Out a 18/Out Circuitos Combinatórios (I) Codif., MUXs, etc. Circuitos Combinatórios (II) Som., Comp., etc. L1 20/Out a 25/Out Circuitos Combinatórios (III) - ALUs Linguagens de Descrição e Simulação de Circuitos Digitais 27/Out a 1/Nov Circuitos Sequenciais: Latches Circuitos Sequenciais: Flip-Flops L2 3/Nov a 8/Nov Caracterização Temporal Registos P3 10/Nov a 15/Nov Revisões Teste 1 Contadores L3 17/Nov a 22/Nov 24/Nov a 29/Nov 1/Dez a 6/Dez 8/Dez a 13/Dez Síntese de Circuitos Sequenciais: Definições Síntese de Circuitos Sequenciais: Síntese com Contadores Máq. Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Circuitos de Controlo, Transferência e Processamento de Dados de um Processador Síntese de Circuitos Sequenciais: Minimização do número de estados Memórias Máq. Estado Microprogramadas: Microprograma Lógica Programável P2 P4 L4 P5 L5 (1ª Parte) 15/Dez a 19/Dez P6 P6 L5 (2ª Parte) Prof. Nuno Roma Sistemas Digitais 2014/15 3

4 Sumário Tema da aula de hoje: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Bibliografia: M. Mano, C. Kime: Capítulo 7 G. Arroz, J. Monteiro, A. Oliveira: Secções 8.2 a 8.3 Prof. Nuno Roma Sistemas Digitais 2014/15 4

5 Circuito de Dados e Circuito de Controlo Circuito de Dados e Circuito de Controlo (Revisão) Os sistemas digitais com alguma complexidade tornam-se difíceis de projectar como vulgares máquinas sequenciais síncronas, porque: Diagramas de estados / tabela de estados de grande dimensão Elevado número de: o Entradas, o Saídas, o Estados. Solução: organizar esses sistemas hierarquicamente, estabelecendo uma divisão entre: circuito de dados - dá suporte ao fluxo e à manipulação de dados; circuito de controlo - controla o circuito de dados. Prof. Nuno Roma Sistemas Digitais 2014/15 5

6 Unidade de Processamento e Unidade de Controlo Unidade de Processamento e Unidade de Controlo Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo Bits de estado (flags) Unidade de processamento Resultados Operandos A partir de um certo nível de complexidade, os circuitos digitais podem ser divididos em dois módulos distintos: Circuito de dados ou unidade de processamento ou datapath; Circuito de controlo ou unidade de controlo Prof. Nuno Roma Sistemas Digitais 2014/15 6

7 Unidade de Processamento e Unidade de Controlo Unidade de Processamento e Unidade de Controlo Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo Bits de estado (flags) Unidade de processamento Resultados Operandos A unidade de processamento processa a informação útil do sistema e é tipicamente constituída por um conjunto de módulos combinatórios (ex: ALU) e elementos de memória (ex: banco de registos, memória RAM, etc.). Sobre a informação contida nos elementos de memória (ex: registo), podem ser realizadas operações cujo resultado pode ser guardado no mesmo registo, noutro registo ou mesmo numa posição de memória. Prof. Nuno Roma Sistemas Digitais 2014/15 7

8 Unidade de Processamento e Unidade de Controlo Unidade de Processamento e Unidade de Controlo Entradas de controlo Unidade de Palavra de controlo Saídas de controlo controlo Bits de estado (flags) Unidade de processamento Resultados Operandos A unidade de controlo é responsável por gerar os sinais de controlo (palavra de controlo) que sequenciam as operações básicas do circuito de dados a cada ciclo de relógio, de modo a que o sistema realize operações complexas. Têm dois tipos de entradas: Entradas de controlo, que controlam o funcionamento do sistema; Bits de estado, provenientes do circuito de dados, com informação referente à última operação realizada pelo circuito de dados. Prof. Nuno Roma Sistemas Digitais 2014/15 8

9 Exemplo Exemplo: Maior Divisor Comum Pseudo-Código Maior_Divisor_Comum (X,Y) Enquanto (Y 0) { se X Y então X = X Y se não, troca X com Y } Resultado em X Sugestão: verifique, através de um par de inteiros (ex: 54 e 36) que o resultado é o esperado. Prof. Nuno Roma Sistemas Digitais 2014/15 9

10 Exemplo Exemplo: Maior Divisor Comum Pseudo-Código Unidade de Processamento Maior_Divisor_Comum (X,Y) Enquanto (Y 0) { se X Y então X = X Y se não, troca X com Y } Resultado em X Prof. Nuno Roma Sistemas Digitais 2014/15 10

11 Exemplo Exemplo: Maior Divisor Comum Unidade de Processamento Exemplo: mdc(54,36) Prof. Nuno Roma Sistemas Digitais 2014/15 11

12 Exemplo Exemplo: Maior Divisor Comum Fluxograma Unidade de Processamento Controlo? Prof. Nuno Roma Sistemas Digitais 2014/15 12

13 Exemplo Exemplo: Maior Divisor Comum Fluxograma Unidade de Controlo Prof. Nuno Roma Sistemas Digitais 2014/15 13

14 Exemplo Exemplo: Maior Divisor Comum Unidade de Controlo: Unidade de Processamento: Prof. Nuno Roma Sistemas Digitais 2014/15 14

15 Problema: A síntese de circuitos de processamento e de controlo para a realização de operações complexas, com muitos estados e variáveis de entrada, torna-se complexa, trabalhosa e pouco eficiente Esforço de desenvolvimento/implementação insustentável Alternativa: Utilização de unidades de processamento genéricas e não dedicadas à aplicação; Utilização de unidades de controlo microprogramadas. Prof. Nuno Roma Sistemas Digitais 2014/15 15

16 Unidade de Processamento e Unidade de Controlo Unidade de Processamento Genérica Prof. Nuno Roma Sistemas Digitais 2014/15 16

17 Unidade de Processamento e Unidade de Controlo Unidade de Processamento Genérica Banco de Registos 16 D 3 R/W C SelA R0 R1 R2 R3 R4 R5 R6 R A B SelD 3 SelB Prof. Nuno Roma Sistemas Digitais 2014/15 17

18 Unidade de Processamento e Unidade de Controlo Unidade de Processamento Genérica Unidade Lógica e Aritmética (ULA) Prof. Nuno Roma Sistemas Digitais 2014/15 18

19 Unidade Lógica e Aritmética Operações da unidade lógica e aritmética S 4 S 3 S 2 S 1 S R A + B Soma Operação R A B Subtracção R A + B + C Soma com bit de transporte R A B C Subtracção com transporte negado R A 1 Decremento R A + 1 Incremento R A C Decremento, se C= R A + C Incremento, se C= R A Complemento R A Ʌ B Conjunção R A V B Disjunção R A B Disjunção exclusiva R SHR A Deslocamento lógico à direita R SHL A Deslocamento lógico à esquerda A função realizada é definida por uma palavra de comando; Várias codificações possíveis R SHRA A Deslocamento aritmético à direita R SHLA A Deslocamento aritmético à esquerda R ROR A Rotação à direita R ROL A Rotação à esquerda R RORC A Rotação à direita com transporte R RORL A Rotação à esquerda com transporte R A Transferência Prof. Nuno Roma Sistemas Digitais 2014/15 19

20 Unidade de Processamento e Unidade de Controlo Unidade de Processamento Genérica Prof. Nuno Roma Sistemas Digitais 2014/15 20

21 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada Características: As saídas dependem apenas do estado actual Máquina de Moore Em cada estado apenas é testada uma variável de entrada; Como resultado de cada teste numa variável de entrada, o controlador poderá saltar para um estado arbitrário (se o teste for verdadeiro) ou transitar para o estado seguinte (se o teste for falso) Prof. Nuno Roma Sistemas Digitais 2014/15 21

22 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada Prof. Nuno Roma Sistemas Digitais 2014/15 22

23 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada O bloco combinatório pode ser realizado utilizando uma ROM com um número de linhas igual ao número de estados e com tantas saídas quantas as necessárias para gerar as variáveis de saída do bloco combinatório. Prof. Nuno Roma Sistemas Digitais 2014/15 23

24 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada Prof. Nuno Roma Sistemas Digitais 2014/15 24

25 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada Cada palavra da ROM deverá conter os seguintes campos: NS PO TV CT Next State (NS) - especifica o próximo estado, para onde o controlador deverá saltar se o teste efectuado tiver resultado positivo; Primary Output (PO) - valores pretendidos para as variáveis de saída; Test Variable (TV) - indica a variável que deverá ser testada; Complement Test (CT) - indica se o salto deverá ocorrer quando a variável de teste está a 1 ou a 0. Prof. Nuno Roma Sistemas Digitais 2014/15 25

26 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada Exemplo: Prof. Nuno Roma Sistemas Digitais 2014/15 26

27 Unidade de Processamento e Unidade de Controlo Unidade de Controlo Microprogramada Cada palavra da ROM deverá conter os seguintes campos: NS PO TV CT Ao conjunto de campos que especificam o funcionamento do controlador chama-se microinstrução; Ao conjunto de microinstruções chama-se microprograma. Prof. Nuno Roma Sistemas Digitais 2014/15 27

28 Exemplo Exemplo: Maior Divisor Comum Unidade de Processamento Dedicada Unidade de Processamento Genérica Prof. Nuno Roma Sistemas Digitais 2014/15 28

29 Exemplo Exemplo: Maior Divisor Comum Fluxograma Descrição em linguagem RTL: Dados de entrada: R1, R2 Resultado: R2 (R3 = registo temporário) Prof. Nuno Roma Sistemas Digitais 2014/15 29

30 Exemplo Exemplo: Maior Divisor Comum Microprogamação: ES0 ES1 SEL fim Operação ULA Reg A Reg B dest R/W 5 estados 3 bits para codificar os endereços de controlo Como T1 pode ter 3 estados seguintes diferentes (um deles é incremental): 2 campos para endereço seguinte ES0 e ES1 2 bits para decidir entre os 3 estados seguintes possíveis Condições de salto: inicio, em T0 flags Z e N da ALU, em T1 estado seguinte, em T2 e T3 salto incondicional, em T4 2 bits de controlo (Sel) 1 bit para saída de controlo (fim) Prof. Nuno Roma Sistemas Digitais 2014/15 30

31 Exemplo Exemplo: Maior Divisor Comum Unidade de Controlo: R/W Control Address Register (CAR) MUX S: Sel = 00 CAR ES0 Sel = 01 CAR CAR + 1 Sel = 10 CAR ES0, se início = 0 CAR CAR + 1, se início = 1 Sel = 11 CAR ES0, se NZ = 00 CAR ES1, se NZ = 01 CAR CAR + 1, se NZ = 10 Prof. Nuno Roma Sistemas Digitais 2014/15 31

32 Exemplo Exemplo: Maior Divisor Comum R/W End. ES0 ES1 SEL fim Op. ULA reg. A reg. B reg. R/W dest XXX 10 1 XXXXX XX XX XX XXX XXX XXX XXX XX XXX XX 10 0 Prof. Nuno Roma Sistemas Digitais 2014/15 32

33 Exemplo Exemplo: Maior Divisor Comum Especificação da ROM: End. ES0 ES1 SEL fim Op. ULA reg. A reg. B reg. R/W dest XXX 10 1 XXXXX XX XX XX XXX XXX XXX XXX XX XXX XX 10 0 End. ROM 0h h h h h Dimensão da ROM: 5 endereços, palavras de 21 bits 105 bits Prof. Nuno Roma Sistemas Digitais 2014/15 33

34 Próxima Aula Tema da Próxima Aula: Lógica programável: ROM PLA PAL FPGA Linguagens de descrição de hardware VHDL Prof. Nuno Roma Sistemas Digitais 2014/15 34

35 Agradecimentos Algumas páginas desta apresentação resultam da compilação de várias contribuições produzidas por: Guilherme Arroz Horácio Neto Nuno Horta Pedro Tomás Prof. Nuno Roma Sistemas Digitais 2014/15 35

MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico

MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

Ficha da Unidade Curricular

Ficha da Unidade Curricular Ficha da Unidade Curricular Curso: Engenharia Electrotécnica/Informática Ano Lectivo: 2010/11 Unidade Curr.: Sistemas Digitais Ano Curricular: 1 Créditos: 6 Responsável: João Paulo Coelho Regime: Anual

Leia mais

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE

Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Arquitectura de Computadores RECUPERAÇÃO DO 1º TESTE Ano Lectivo: 200/2009 Data: 6 de Janeiro de 2006 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta

Leia mais

10. CPU (Central Processor Unit)... 10 2 10.1 Conjunto das instruções... 10 2 10.2 Estrutura interna... 10 4 10.3 Formato das instruções...

10. CPU (Central Processor Unit)... 10 2 10.1 Conjunto das instruções... 10 2 10.2 Estrutura interna... 10 4 10.3 Formato das instruções... 10. CPU (Central Processor Unit)... 10 2 10.1 Conjunto das instruções... 10 2 10.2 Estrutura interna... 10 4 10.3 Formato das instruções... 10 4 10. CPU (CENTRAL PROCESSOR UNIT) Como vimos no capítulo

Leia mais

Programação ao nível da máquina. Operações lógicas e aritméticas

Programação ao nível da máquina. Operações lógicas e aritméticas Programação ao nível da máquina Operações lógicas e aritméticas Operações lógicas e aritméticas Operações: aritméticas: add, sub, inc, dec, cmp lógicas: and, or, xor, not Realizadas pela ALU (Arithmetic

Leia mais

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16 Introdução aos Computadores Introdução à Ciência de Computadores Nelma Moreira Departamento de Ciência de Computadores da FCUP Outubro 2011 Introdução aos Computadores Introdução à Ciência de Computadores

Leia mais

Unidade 1: O Computador

Unidade 1: O Computador Unidade : O Computador.3 Arquitetura básica de um computador O computador é uma máquina que processa informações. É formado por um conjunto de componentes físicos (dispositivos mecânicos, magnéticos, elétricos

Leia mais

ARQUITETURA DE COMPUTADORES. Professor: Clayton Rodrigues da Siva

ARQUITETURA DE COMPUTADORES. Professor: Clayton Rodrigues da Siva ARQUITETURA DE COMPUTADORES Professor: Clayton Rodrigues da Siva OBJETIVO DA AULA Objetivo: Conhecer a estrutura da arquitetura da Máquina de Von Neumann. Saber quais as funcionalidades de cada componente

Leia mais

Data Path / Control Path Controle do MIPS

Data Path / Control Path Controle do MIPS Organização e Arquitetura de Computadores Unidade de Controle Uniciclo A unidade de controle deve, a partir do código da instrução, fornecer os sinais que realizam as instruções na unidade operativa. Sequência

Leia mais

Arquitectura de Computadores Unidade de Processamento

Arquitectura de Computadores Unidade de Processamento Sistemas Digitais Complexos: + Unidade de Controlo (Datapath): Módulo responsável pela execução das operações de processamento de dados. Unidade de Controlo: Módulo responsável pelo controlo da sequência

Leia mais

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema.

O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. O processador é composto por: Unidade de controlo - Interpreta as instruções armazenadas; - Dá comandos a todos os elementos do sistema. Unidade aritmética e lógica - Executa operações aritméticas (cálculos);

Leia mais

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa.

Máquina Multinível. Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Máquina Multinível Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Uma instrução pode ser definida como um comando para o processador.

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Universidade Estadual de Ponta Grossa PRÓ-REITORIA DE GRADUAÇÃO DIVISÃO DE ENSINO

Universidade Estadual de Ponta Grossa PRÓ-REITORIA DE GRADUAÇÃO DIVISÃO DE ENSINO Universidade Estadual de Ponta Grossa PRÓ-REITORIA DE GRADUAÇÃO DIVISÃO DE ENSINO PROGRAMA DE DISCIPLINA SETOR: Ciências Agrárias e de Tecnologia DEPARTAMENTO: Informática DISCIPLINA: Introdução à Organização

Leia mais

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada

3º Trabalho de Laboratório. Unidade de Controlo Microprogramada MICROPROCESSADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de uma Unidade

Leia mais

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador

Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Aula 11: Blocos Digitais Básicos Decodificador e Multiplexador Circuitos Digitais Rodrigo Hausen CMCC UFABC 4 e 6 de março de 2013 http://compscinet.org/circuitos Rodrigo Hausen (CMCC UFABC) Aula 11: Blocos

Leia mais

Unidade Central de Processamento

Unidade Central de Processamento Unidade Central de Processamento heloar.alves@gmail.com Site: heloina.com.br 1 CPU A Unidade Central de Processamento (UCP) ou CPU (Central Processing Unit), também conhecida como processador, é responsável

Leia mais

ULA Sinais de Controle enviados pela UC

ULA Sinais de Controle enviados pela UC Solução - Exercícios Processadores 1- Qual as funções da Unidade Aritmética e Lógica (ULA)? A ULA é o dispositivo da CPU que executa operações tais como: Adição Subtração Multiplicação Divisão Incremento

Leia mais

Arquitetura de Computadores. Arquitetura de Computadores 1

Arquitetura de Computadores. Arquitetura de Computadores 1 Computadores Computadores 1 Introdução Componentes: Processador; UC; Registradores; ALU s, FPU s, etc. Memória (Sistema de armazenamento de informações; Dispositivo de entrada e saída. Computadores 2 Introdução

Leia mais

28/9/2010. Unidade de Controle Funcionamento e Implementação

28/9/2010. Unidade de Controle Funcionamento e Implementação Arquitetura de Computadores Unidade de Controle Funcionamento e Implementação Prof. Marcos Quinet Universidade Federal Fluminense P.U.R.O. Operação da Unidade de Controle Unidade de controle: parte do

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

Notas de Aula Guilherme Sipahi Arquitetura de Computadores. Arquitetura de von Neumann

Notas de Aula Guilherme Sipahi Arquitetura de Computadores. Arquitetura de von Neumann Notas de Aula Guilherme Sipahi Arquitetura de Computadores Burks, Goldstine e von Neumann (1946): Arquitetura de von Neumann Concluído em 1952 - IAS (Institute for Advanced Studies) Computer Na proposta

Leia mais

Módulos Combinatórios

Módulos Combinatórios Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Módulos Combinatórios Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Somadores

Leia mais

Componentes de um Computador: Modelo Von Neumann

Componentes de um Computador: Modelo Von Neumann Componentes de um Computador: Modelo Von Neumann Modelo de Von Neumann O nome refere-se ao matemático John Von Neumann que foi considerado o criador dos computadores da forma que são projetados até hoje.

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Evolução e Desempenho dos Computadores Slide 1 Conceitos Arquitetura do Computador Refere-se aos atributos que são visíveis para o programador. Ex: conjunto

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar http://www.ricardobarcelar.com.br - Aula 2 - O NÍVEL DA MICROARQUITETURA 1. INTRODUÇÃO Este é o nível cuja função é implementar a camada ISA (Instruction Set Architeture). O seu projeto depende da arquitetura do conjunto das instruções

Leia mais

Arquitetura de Computadores. Ivan Saraiva Silva

Arquitetura de Computadores. Ivan Saraiva Silva Arquitetura de Computadores Introdução Ivan Saraiva Silva Sumário Introdução Bibliografia Recomendada O que é um computador Organização de um Computador Modelo de Von Neumann IAS Máquina de Von Neuman

Leia mais

Índice. Tudo! (datapath de um ciclo)

Índice. Tudo! (datapath de um ciclo) Índice Sumário Instrução com atraso maior no datapath de um ciclo. Datapath multiciclo: introdução. 4. O Processador: Unidades de Caminho de Dados e de o 4.1 Diagrama de Blocos de um Processador 4.2 Unidade

Leia mais

Desenho do Processador

Desenho do Processador Desenho do Processador Lís Nogeira lis@dei.isep.ipp.pt Departamento Engenharia Informática Institto Sperior de Engenharia do Porto Desenho do processador p. Introdção Definindo o seginte sbconjnto da ISA

Leia mais

Nível da Microarquitetura

Nível da Microarquitetura Nível da Microarquitetura (Aula 10) Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 Agradecimentos: Camilo Calvi - LPRM/DI/UFES Máquina de Vários Níveis Modernas (ISA)

Leia mais

Introdução aos Sistemas Digitais e Microprocessadores

Introdução aos Sistemas Digitais e Microprocessadores Introdução aos Sistemas Digitais e Microprocessadores Guilherme Arroz José Monteiro Arlindo Oliveira 23deMaiode2003 Conteúdo Prefácio 2 1 Introdução 3 2 Bases de Numeração e Códigos 5 2.1 BasesdeNumeração...

Leia mais

Supervisório Remoto aplicado em Dispositivo Móvel na Plataforma NI LabVIEW

Supervisório Remoto aplicado em Dispositivo Móvel na Plataforma NI LabVIEW Supervisório Remoto aplicado em Dispositivo Móvel na Plataforma NI LabVIEW "Este artigo demonstra os recursos e passos necessários para implementar um sistema supervisório de consumo energético e controle

Leia mais

Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados

Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados Arquitetura de Computadores Paralelismo, CISC X RISC, Interpretação X Tradução, Caminho de dados Organização de um Computador Típico Memória: Armazena dados e programas. Processador (CPU - Central Processing

Leia mais

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização

Hardware: Componentes Básicos. Sistema de Computador Pessoal. Anatomia de um Teclado. Estrutura do Computador. Arquitetura e Organização Hardware: Componentes Básicos Arquitetura dos Computadores Dispositivos de Entrada Processamento Dispositivos de Saída Armazenamento Marco Antonio Montebello Júnior marco.antonio@aes.edu.br Sistema de

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Unidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01

Unidade Central de Processamento (CPU) Processador. Renan Manola Introdução ao Computador 2010/01 Unidade Central de Processamento (CPU) Processador Renan Manola Introdução ao Computador 2010/01 Componentes de um Computador (1) Computador Eletrônico Digital É um sistema composto por: Memória Principal

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores Um programa pode ser definido como uma seqüência de instruções que descrevem como executar uma determinada tarefa. Uma instrução pode ser definida como um comando

Leia mais

Oganização e Arquitetura de Computadores

Oganização e Arquitetura de Computadores Oganização e Arquitetura de Computadores Capítulo 14 e 15 Unidade de Controle Parte I Operação da Unidade de Controle 1 Micro-Operações Um computador executa um programa Ciclo: Busca/Executa Cada ciclo

Leia mais

Funcionamento básico de um computador

Funcionamento básico de um computador Funcionamento básico de um computador Processador Unidade de dados Unidade de controlo Arquitetura de computador básica Linguagem assembly Exemplos Arquitetura de Computadores Funcionamento básico de um

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

O Processador: Via de Dados e Controle

O Processador: Via de Dados e Controle O Processador: Via de Dados e Controle Ch5A Via de Dados e Controle Implementação da arquitetura MIPS Visão simplificada de uma arquitetura monociclo Instruções de memória: lw, sw Instruções lógicas aritméticas:

Leia mais

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória

Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e Organização de Memória Introdução Arquitetura de Computadores Circuitos Combinacionais, Circuitos Sequênciais e O Nível de lógica digital é o nível mais baixo da Arquitetura. Responsável pela interpretação de instruções do nível

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Setembro de MEMÓRIAS - SUMÁRIO: MEMÓRIAS INTEGRADAS RAM ROM PROM EPROM LÓGICA PROGRAMÁVEL PLAs PALs FPGAs Setembro de MEMÓRIAS - MEMÓRIAS Na sequência do estudo

Leia mais

http://www.ic.uff.br/~boeres/fac.html! Conteúdos: Debora, Edcarllos, livros! slides disponíveis!

http://www.ic.uff.br/~boeres/fac.html! Conteúdos: Debora, Edcarllos, livros! slides disponíveis! http://www.ic.uff.br/~boeres/fac.html! 1 Conteúdos: Debora, Edcarllos, livros! slides disponíveis! Unidade central de Processamento realiza operações básicas codificadas em 0s e 1s instrução contém código

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 5 Máquinas Seqüenciais

Leia mais

ANO LETIVO 2015 / 2016

ANO LETIVO 2015 / 2016 1 30 40 21-9-2015 03-11-2015 Sistemas de Numeração Conhecer a estrutura de um Sistema de Numeração e os principais Sistemas de Numeração Efetuar a conversão de números entre os vários sistemas de numeração.

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Fernando Fonseca Ramos Faculdade de Ciência e Tecnologia de Montes Claros Fundação Educacional Montes Claros 1 Índice 1- Introdução 2- Exemplo de Microarquitetura

Leia mais

Fundamentos de Programação. Diagrama de blocos

Fundamentos de Programação. Diagrama de blocos Fundamentos de Programação Diagrama de blocos Prof. M.Sc.: João Paulo Q. dos Santos E-mail: joao.queiroz@ifrn.edu.br Página: http://docente.ifrn.edu.br/joaoqueiroz/ O processo de desenvolvimento (programação),

Leia mais

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1

Programação Básica em STEP 7 Operações Binárias. SITRAIN Training for Automation and Drives. Página 6-1 Conteúdo Página Operações Lógicas Binárias: AND, OR...2 Operações Lógicas Binárias: OR Exclusivo (XOR)...3 Contatos Normalmente Abertos e Normalmente Fechados. Sensores e Símbolos... 4 Exercício...5 Resultado

Leia mais

A Unidade Central de Processamento é a responsável pelo processamento e execução de programas armazenados na MP.

A Unidade Central de Processamento é a responsável pelo processamento e execução de programas armazenados na MP. A ARQUITETURA DE UM COMPUTADOR A arquitetura básica de um computador moderno segue ainda de forma geral os conceitos estabelecidos pelo Professor da Universidade de Princeton, John Von Neumann (1903-1957),

Leia mais

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE5406 - Sistemas Digitais semestre 2011/1 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Capítulo 4. MARIE (Machine Architecture Really Intuitive and Easy)

Capítulo 4. MARIE (Machine Architecture Really Intuitive and Easy) Capítulo 4 João Lourenço Joao.Lourenco@di.fct.unl.pt Faculdade de Ciências e Tecnologia Universidade Nova de Lisboa 2007-2008 MARIE (Machine Architecture Really Intuitive and Easy) Adaptado dos transparentes

Leia mais

Introdução à programação em linguagem assembly

Introdução à programação em linguagem assembly Introdução à programação em linguagem assembly Espaço de endereçamento Instruções de acesso à memória Modos de endereçamento Diretivas Tabelas Pilha Rotinas Arquitetura de Computadores Introdução à programação

Leia mais

Sistemas Operacionais. Rodrigo Rubira Branco rodrigo@kernelhacking.com rodrigo@fgp.com.br. www.fgp.com.br

Sistemas Operacionais. Rodrigo Rubira Branco rodrigo@kernelhacking.com rodrigo@fgp.com.br. www.fgp.com.br Sistemas Operacionais Rodrigo Rubira Branco rodrigo@kernelhacking.com rodrigo@fgp.com.br Tipos de Sistemas Operacionais De Sistemas Embarcados (PalmOS,WinCE,WinXPEmbbeded,Linux) Hardware simples, especifico

Leia mais

Campus Capivari Análise e Desenvolvimento de Sistemas (ADS) Prof. André Luís Belini E-mail: prof.andre.luis.belini@gmail.com /

Campus Capivari Análise e Desenvolvimento de Sistemas (ADS) Prof. André Luís Belini E-mail: prof.andre.luis.belini@gmail.com / Campus Capivari Análise e Desenvolvimento de Sistemas (ADS) Prof. André Luís Belini E-mail: prof.andre.luis.belini@gmail.com / andre.belini@ifsp.edu.br MATÉRIA: ICO Aula N : 09 Tema: Unidade Central de

Leia mais

Flávia Rodrigues. Silves, 26 de Abril de 2010

Flávia Rodrigues. Silves, 26 de Abril de 2010 Flávia Rodrigues STC5 _ Redes de Informação e Comunicação Silves, 26 de Abril de 2010 Vantagens e Desvantagens da Tecnologia Acessibilidade, quer a nível pessoal quer a nível profissional; Pode-se processar

Leia mais

Capítulo 3 Processadores de Propósito Geral: Software

Capítulo 3 Processadores de Propósito Geral: Software Capítulo 3 Processadores de Propósito Geral: Software Prof. Romis Attux EA075 2015 Obs: Os slides são parcialmente baseados nos dos autores do livro texto Processadores de Propósito Geral Um processador

Leia mais

a dispositivos mecânicos e electromecânicos por forma a realizar as sequências de trabalho pretendidas.

a dispositivos mecânicos e electromecânicos por forma a realizar as sequências de trabalho pretendidas. CONTROLO DE PROCESSOS Até à década de setenta, a maior parte das plantas industriais recorriam a dispositivos mecânicos e electromecânicos por forma a realizar as sequências de trabalho pretendidas. A

Leia mais

Figura 1 - Somador para dois números de 4 bits com extensores lógicos (EL) e Aritméticos(EA).

Figura 1 - Somador para dois números de 4 bits com extensores lógicos (EL) e Aritméticos(EA). Projeto de uma Unidade Lógico-Aritmética (ULA) Uma unidade lógico-aritmética (ULA) é responsável pelas operações lógicas e aritméticas básicas num processador. As operações aritméticas tipicamente realizadas

Leia mais

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33 Departamento de Computação Sistemas Digitais para Computação AULAS TEÓRICAS 9 a 33 Prof. MSc. Mário Oliveira Orsi Prof. MSc. Carlos Alexandre Ferreira de Lima Abril de 29 Sistemas Digitais para Computação

Leia mais

Organização e Arquitetura de Computadores I. Introdução. Ivan Saraiva Silva Leonardo Casillo

Organização e Arquitetura de Computadores I. Introdução. Ivan Saraiva Silva Leonardo Casillo Organização e Arquitetura de Computadores I Introdução Ivan Saraiva Silva Leonardo Casillo Sumário Introdução Bibliografia Recomendada O que é um computador Organização de um Computador Modelo de Von Neumann

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 546 Aula 4-T 4 Máquinas Seqüenciais

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL ÁLGEBRA BOOLEANA- LÓGICA DIGITAL LÓGICA DIGITAL Álgebra Booleana Fundamentação matemática para a lógica digital Portas Lógicas Bloco fundamental de construção de circuitos lógicos digitais Circuitos Combinatórios

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

Arquitetura de Computadores. Tipos de Instruções

Arquitetura de Computadores. Tipos de Instruções Arquitetura de Computadores Tipos de Instruções Tipos de instruções Instruções de movimento de dados Operações diádicas Operações monádicas Instruções de comparação e desvio condicional Instruções de chamada

Leia mais

TECNOLOGIAS DA INFORMAÇÃO E COMUNICAÇÃO (TIC) PLANIFICAÇÃO ANUAL 8º ANO ANO LETIVO 2013/2014

TECNOLOGIAS DA INFORMAÇÃO E COMUNICAÇÃO (TIC) PLANIFICAÇÃO ANUAL 8º ANO ANO LETIVO 2013/2014 TECNOLOGIAS DA INFORMAÇÃO E COMUNICAÇÃO (TIC) PLANIFICAÇÃO ANUAL 8º ANO ANO LETIVO 2013/2014 Previsão de aulas 8º B Aulas previstas 33 1º Período 14 2º Período 12 3º Período 7 Planificação anual resumida

Leia mais

Práticas de laboratório de Eletrônica Digital

Práticas de laboratório de Eletrônica Digital Universidade Federal de Uberlândia Práticas de laboratório de Eletrônica Digital Prof. Dr. Alan Petrônio Pinheiro Faculdade de Engenharia Elétrica Curso de Engenharia Eletrônica e de Telecomunicações (campus

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

Organização de Computadores 1

Organização de Computadores 1 Organização de Computadores 1 3.1 CPU: Unidade de Processamento Central Prof. Luiz Gustavo A. Martins Arquitetura de von Newmann Unidade de Processamento Central (CPU): Memória Principal Unidade de Processamento

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

Arquitectura de Computadores. Dicas e Truques do Assembly do P3

Arquitectura de Computadores. Dicas e Truques do Assembly do P3 Instituto Superior Técnico Arquitectura de Computadores Dicas e Truques do Assembly do P3 Prof. Renato Nunes Versão 2.0 03/03/2008 1. Introdução Este documento contém vários pedaços de código, instruções

Leia mais

Aula 03. Processadores. Prof. Ricardo Palma

Aula 03. Processadores. Prof. Ricardo Palma Aula 03 Processadores Prof. Ricardo Palma Definição O processador é a parte mais fundamental para o funcionamento de um computador. Processadores são circuitos digitais que realizam operações como: cópia

Leia mais

Princípios e Conceitos de Desenho de Software. Projeto de Sistemas de Software Prof. Rodrigo Ribeiro

Princípios e Conceitos de Desenho de Software. Projeto de Sistemas de Software Prof. Rodrigo Ribeiro Princípios e Conceitos de Desenho de Software Projeto de Sistemas de Software Prof. Rodrigo Ribeiro Revisando... Processo Unificado PRAXIS Processo unificado: Dividido em fases e fluxos Fases Concepção,

Leia mais

Primeiros "computadores" digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna

Primeiros computadores digitais. Execução de um programa. Consolas. Primórdios dos computadores. Memória interna. Computadores com memória interna Execução de um O executa um Quais os seus componentes? Como estes se organizam e interactuam? entrada de dados processador, memória, input bits periféricos,etc bits saída de dados output Primeiros "es"

Leia mais

Estrutura de um Computador

Estrutura de um Computador SEL-0415 Introdução à Organização de Computadores Estrutura de um Computador Aula 7 Prof. Dr. Marcelo Andrade da Costa Vieira MODELO DE VON NEUMANN PRINCÍPIOS A arquitetura de um computador consiste de

Leia mais

ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h

ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 60h ULA- Unidade Lógica Aritmética. Prof. Rômulo Calado Pantaleão Camara Carga Horária: 60h Sumário Unidade Lógica Aritmetrica Registradores Unidade Lógica Operações da ULA Unidade de Ponto Flutuante Representação

Leia mais

Arquitetura de Computadores - Revisão -

Arquitetura de Computadores - Revisão - Arquitetura de Computadores - Revisão - Principais funções de um Sistema Operacional Componentes básicos da Arquitetura Barramentos Registradores da CPU Ciclo de Instruções Interrupções Técnicas de E/S

Leia mais

Programação Elementar de Computadores Jurandy Soares

Programação Elementar de Computadores Jurandy Soares Programação Elementar de Computadores Jurandy Soares Básico de Computadores Computador: dispositivos físicos + programas Dispositivos físicos: hardware Programas: as instruções que dizem aos dispositivos

Leia mais

Sistemas Processadores e Periféricos Aula 5 - Revisão

Sistemas Processadores e Periféricos Aula 5 - Revisão Sistemas Processadores e Periféricos Aula 5 - Revisão Prof. Frank Sill Torres DELT Escola de Engenharia UFMG Adaptado a partir dos Slides de Organização de Computadores 2006/02 do professor Leandro Galvão

Leia mais

DISCIPLINA: Sistemas Digitais

DISCIPLINA: Sistemas Digitais DISCIPLINA: Sistemas Digitais Vigência: a partir de 2007/1 Período Letivo: 4º semestre Carga Horária Total: 45h Código: EE.212 Ementa: Implementação Física. Tecnologia de CIs programáveis. FPGA. Atraso

Leia mais

Cronograma da Disciplina de Automação Industrial- Aulas Teóricas

Cronograma da Disciplina de Automação Industrial- Aulas Teóricas Cronograma da Disciplina de Automação Industrial- Aulas Teóricas Aula Sumário das Aulas Teóricas Sem. T1 Introdução aos objectivos e tipos da Automação Industrial Introdução à disciplina. Objectivos da

Leia mais

Instituto Superior Técnico

Instituto Superior Técnico Introdução à Arquitectura de Computadores Instituto Superior Técnico Lisboa, Setembro de 2013 O Simulador Lógico Logisim 1 Introdução... 2 2 Exemplo de projecto... 3 2.1 Especificação do sistema... 3 2.2

Leia mais

Linguagem de Montagem Funcionamento de CPU e Assembly Rudimentar

Linguagem de Montagem Funcionamento de CPU e Assembly Rudimentar Componentes de um Computador (5) Linguagem de Montagem Funcionamento de CPU e Assembly Rudimentar Prof. João Paulo A. Almeida (jpalmeida@inf.ufes.br) 2007/01 - INF02597 Com slides de Roberta Lima Gomes

Leia mais

Processadores BIP. Conforme Morandi et al (2006), durante o desenvolvimento do BIP, foram definidas três diretrizes de projeto:

Processadores BIP. Conforme Morandi et al (2006), durante o desenvolvimento do BIP, foram definidas três diretrizes de projeto: Processadores BIP A família de processadores BIP foi desenvolvida por pesquisadores do Laboratório de Sistemas Embarcados e Distribuídos (LSED) da Universidade do Vale do Itajaí UNIVALI com o objetivo

Leia mais

EA075 Processadores Dedicados

EA075 Processadores Dedicados EA075 Processadores Dedicados Faculdade de Engenharia Elétrica e de Computação (FEEC) Universidade Estadual de Campinas (UNICAMP) Prof. Levy Boccato Introdução Processador: circuito digital projetado para

Leia mais

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP Memórias.PLD.PLA.FPGA. Até ao momento... MEMÓRIA =FLIP- FLOP 1 MEMÓRIAS como armazenar mais do que um bit? Coelho, J.P. @ Sistemas Digitais : Y20 Memórias.PLD.PLA.FPGA. 2 como armazenar mais do que uma

Leia mais

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo

Prototipação de Sistemas Digitais. Metodologia de Projetos Cristiano Araújo Prototipação de Sistemas Digitais Metodologia de Projetos Cristiano Araújo Fluxo de projeto Emulação/Implementação do componente de harwdare VHDL/Verilog Simulação FPGAs, CPLDs Síntese p/emulação ASICs

Leia mais

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA

Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Sistemas Digitais Reconfiguráveis Projecto de uma placa com DIP-Switches e LCD com ligação à placa DETIUA Liliana Rocha Nicolau Lopes da Costa 27611 Ano Lectivo de 2006/2007 Universidade de Aveiro 1. Objectivos

Leia mais

TECNOLOGIAS APLICADAS

TECNOLOGIAS APLICADAS ESCOLA SECUNDÁRIA DE VALONGO Ano Lectivo: 2009/2010 CURSO PROFISSIONAL DE ELECTRÓNICA, AUTOMAÇÃO E COMPUTADORES TECNOLOGIAS APLICADAS 12 ANO - Turma TE Professor: Ana Lisa Rodrigues Grupo: 0 Tecnologias

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Caminho de Dados Slide 1 Sumário Introdução Convenções Lógicas de Projeto Construindo um Caminho de Dados O Controle da ULA Projeto da Unidade de Controle Principal

Leia mais

Para que o NSBASIC funcione corretamente em seu computador, você deve garantir que o mesmo tenha as seguintes características:

Para que o NSBASIC funcione corretamente em seu computador, você deve garantir que o mesmo tenha as seguintes características: Cerne Tecnologia www.cerne-tec.com.br Conhecendo o NSBASIC para Palm Vitor Amadeu Vitor@cerne-tec.com.br 1. Introdução Iremos neste artigo abordar a programação em BASIC para o Palm OS. Para isso, precisaremos

Leia mais

CISC - Complex Instruction Set Computer

CISC - Complex Instruction Set Computer MAC 412- Organizãção de Computadores - Siang W. Song Baseado no livro de Tanenbaum - Structured Computer Organization Índice Conceito de microprogramação 1 Conceito de microprogramação Como surgiu Exemplos

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis PARTE 1 - TEORIA Dispositivos Lógicos Programáveis Os dispositivos lógicos programáveis (PLD Programmable Logic Device) são circuitos integrados programáveis, que possuem um grande número de portas lógicas,

Leia mais

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007

Portas lógicas e circuitos digitais. Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Portas lógicas e circuitos digitais Sistemas da Computação Prof. Rossano Pablo Pinto, Msc. rossano at gmail com 2 semestre 2007 Tópicos Portas Circuito somador Circuito subtrator flip-flops (registradores)

Leia mais

Edeyson Andrade Gomes

Edeyson Andrade Gomes Sistemas Operacionais Conceitos de Arquitetura Edeyson Andrade Gomes www.edeyson.com.br Roteiro da Aula Máquinas de Níveis Revisão de Conceitos de Arquitetura 2 Máquina de Níveis Máquina de níveis Computador

Leia mais

Organização de Computadores 1

Organização de Computadores 1 Organização de Computadores 1 5 CONJUNTO DE INSTRUÇÕES Prof. Luiz Gustavo A. Martins Introdução O que é um conjunto de instruções? Coleção completa das instruções que a CPU é capaz de executar (entende).

Leia mais