Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Tamanho: px
Começar a partir da página:

Download "Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação"

Transcrição

1 Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 546 Aula 4-T 4 Máquinas Seqüenciais Síncronas: Sincronismo com sinal de relógio, Análise de circuitos seqüenciais síncronos Modelos de Moore e de Mealy Prof José Luís Güntzel guntzel@infufscbr wwwinfufscbr/~guntzel/ine546/ine546html

2 4 Máquinas Seqüenciais Síncronas Observação Importante O Conteúdo destas transparências está detalhado na introdução do capítulo 4 e nas seções 43 e 44 da apostila Introdução aos Sistemas Digitais, de José Luís Güntzel e Francisco Assis do Nascimento, a qual encontra-se disponível gratuitamente em formato PDF no endereço wwwufpeledubr/~guntzel/isd/isdhtml Sistemas Digitais - semestre 27/2 slide 4T2 Prof José Luís Güntzel

3 4 Máquinas Seqüenciais Síncronas Circuitos Seqüenciais: Diagrama de blocos genérico entradas circuito combinacional saídas variáveis do estado atual elementos de memória variáveis do próximo estado Sistemas Digitais - semestre 27/2 slide 4T3 Prof José Luís Güntzel

4 4 Máquinas Seqüenciais Síncronas Sinal de Relógio (clock): Circuitos Seqüenciais Síncronos borda ascendente período (T) nível baixo nível alto borda descendente Exemplo: Um circuito síncrono é cadenciado por um relógio de 2 MHz Qual é o maior atraso para qualquer bloco que o compõem? T = 6 9 =,5x s = 5x s = 5ns 6 2x Hz Sistemas Digitais - semestre 27/2 slide 4T4 Prof José Luís Güntzel

5 4 Máquinas Seqüenciais Síncronas Circuitos Seqüenciais Síncronos: Diagrama de blocos entradas circuito combinacional saídas elementos de memória (flip-flops) variáveis do estado atual variáveis do próximo estado relógio (CK) Sistemas Digitais - semestre 27/2 slide 4T5 Prof José Luís Güntzel

6 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais Elementos para a análise (por ordem): Equações de excitação 2 Equações de estado e equações de saída 3 Tabela de próximo estado 4 Tabela de saída 5 Diagrama de transição de estados Vejamos um exemplo Sistemas Digitais - semestre 27/2 slide 4T6 Prof José Luís Güntzel

7 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais enciais Contador Módulo-4: esquemático cnt Exemplo 43 da apostila D Q Y C Q D Q C Q CK Sistemas Digitais - semestre 27/2 slide 4T7 Prof José Luís Güntzel

8 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais enciais Determinando as equações de excitação (entradas dos flip-flops) cnt D = cnt Q = cnt Q+ cnt Q D Q Y C Q D Q C Q CK Sistemas Digitais - semestre 27/2 D= cnt Q+ cnt Q Q+ cnt Q Q slide 4T8 Prof José Luís Güntzel

9 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais enciais Determinando as equações de excitação (entradas dos flip-flops) cnt D = cnt Q = cnt Q+ cnt Q D Q Y C Q D Q C Q Equação de um Flip-flop D: Q t+ = D t Então CK Sistemas Digitais - semestre 27/2 D= cnt Q+ cnt Q Q+ cnt Q Q slide 4T9 Prof José Luís Güntzel

10 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais enciais 2 Determinando as equações de estado (saída dos flip-flops) cnt Q t+ = cnt Q t + cnt Q t D Q C Q Y Y = Q Q D Q C Q Q t+ = cnt Q t + cnt Q t Q t + cnt Q t Q t CK Sistemas Digitais - semestre 27/2 slide 4T Prof José Luís Güntzel

11 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais enciais 3 Montando a Tabela de Transição de Estados Q t+ = cnt Q t + cnt Q t Q t+ = cnt Q t + cnt Q t Q t + cnt Q t Q t Entrada Estado atual Próximo estado cnt Q t Q t Q t+ Q t+ Sistemas Digitais - semestre 27/2 slide 4T Prof José Luís Güntzel

12 4 Máquinas Seqüenciais Síncronas Análise de Circuitos Seqüenciais enciais 5 Desenhando o Diagrama de Estados cnt= QQ= Y= cnt= QQ= Y= cnt= cnt= cnt= cnt= QQ= Y= cnt= QQ= Y= cnt= Este circuito é um contador módulo-4 Sistemas Digitais - semestre 27/2 slide 4T2 Prof José Luís Güntzel

13 4 Máquinas Seqüenciais Síncronas Contador Módulo-4: diagrama de tempos Exemplo 43 da apostila t t t 2 t 3 CK cnt Q Q Y Sistemas Digitais - semestre 27/2 slide 4T3 Prof José Luís Güntzel

14 4 Máquinas Seqüenciais Síncronas Contador Módulo-4: esquemático cnt Exemplo 44 da apostila D Q Y C Q D Q C Q CK Sistemas Digitais - semestre 27/2 slide 4T4 Prof José Luís Güntzel

15 4 Máquinas Seqüenciais Síncronas Contador Módulo-4: diagrama de estados Exemplo 44 da apostila cnt= / Y= QQ= cnt= / Y= QQ= cnt= / Y= cnt= / Y= cnt= / Y= cnt= / Y= QQ= cnt= / Y= QQ= cnt= / Y= Sistemas Digitais - semestre 27/2 slide 4T5 Prof José Luís Güntzel

16 4 Máquinas Seqüenciais Síncronas Contador Módulo-4: diagrama de tempos Exemplo 44 da apostila t t t 2 t 3 CK cnt Q Q Y Sistemas Digitais - semestre 27/2 slide 4T6 Prof José Luís Güntzel

17 4 Máquinas Seqüenciais Síncronas Modelo de Moore (saídas dependentes do estado) entradas I I 2 I k CK D Q FF Q O lógica de próximo estado D 2 Q 2 FF 2 Q 2 lógica de saída O 2 saídas O n D 3 Q 3 FF 3 Q 3 Sistemas Digitais - semestre 27/2 slide 4T7 Prof José Luís Güntzel

18 4 Máquinas Seqüenciais Síncronas Modelo de Mealy (saídas dependentes das entradas) entradas I I 2 I k CK D Q FF Q O lógica de próximo estado D 2 Q 2 FF 2 Q 2 lógica de saída O 2 saídas O n D 3 Q 3 FF 3 Q 3 Sistemas Digitais - semestre 27/2 slide 4T8 Prof José Luís Güntzel

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 5 Máquinas Seqüenciais

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS

CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS 92 CAPÍTULO 6 CIRCUITOS SEQUENCIAIS IV: PROJETO DE REDES SEQUENCIAIS Sumário 6.. Introdução... 94 6... Máquina de Estados de Moore... 94 6..2. Máquina de Estados de Mealy... 95 6.2. Projeto de Redes Sequenciais...

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-T 2. Máquinas Sequenciais Síncronas. Modelos de Moore

Leia mais

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação

Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE5406 - Sistemas Digitais semestre 2011/1 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 6-T 2. Máquinas Sequencias Síncronas: Comparação entre

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008.

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. 4 a LISTA DE EXERCÍCIOS DE ELETRÔNICA DIGITAL Prof. Alessandro Jacoud Peixoto 1. Suponha

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Máquinas de Estados Finitos de Paula Rodrigues Contexto Aplicações dos Flip-flops síncronos Armazenamento de dados Q Transferência

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 2 Emprego de elementos de memória: Contadores síncronos de Paula Rodrigues Contadores síncronos Contexto Flip-flops disparados

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 7ª Prática: Introdução à Verilog-HDL e á Lógica Sequencial Data: 22/05/2014 Nome: Adrián

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS Módulo 4 Prof. Celso CIRCUITOS SEQÜÊNCIAIS s São estágios através dos quais um circuito seqüencial avança. Em cada estado o circuito armazena informação sobre sua história passada de modo que possa saber

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. SEL Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. SEL Sistemas Digitais Prof. Homero Schiabel ANÁLISE DE SIST SEQUENCIAIS SÍNCRONOS SEL 414 - Sistemas Digitais Prof Homero Schiabel MODELOS DE SISTEMAS SEQUENCIAIS Introdução Sequência de cintilação de um conjunto de lâmpadas: 1 2 3 4 5 Soar um alarme

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

2 a Lista de Exercícios

2 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2011/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

UNIVERSIDADE FEDERAL DO PARANÁ. Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional

UNIVERSIDADE FEDERAL DO PARANÁ. Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional UNIVERSIDADE FEDERAL DO PARANÁ DEPARTAMENTO DE ENGENHARIA ELÉTRICA Projeto de Circuito Integrado: Convesor Série-Paralelo Bidirecional Alunos: Cezar Oliveira Douglas Alencar Professores: Márlio José do

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3ª. ed., MKP ópicos Sistemas sequenciais

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Centro de Educação Profissional da Universidade Estadual de Campinas

Centro de Educação Profissional da Universidade Estadual de Campinas Professor - Romeu Corradi Júnior Centro de Educação Profissional da Universidade Estadual de Campinas Atividades: Resolução de exercícios com alguns comentários (Lista 01-FSM1) 1. Obter as tabelas de transição

Leia mais

Sistemas Digitais INE 5406

Sistemas Digitais INE 5406 Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Sistemas Digitais INE 5406 Aula 10-P Refinamento das especificações

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

Circuitos sequenciais elementares

Circuitos sequenciais elementares Circuitos sequenciais elementares João Canas Ferreira Arquitectura de Computadores FEUP/LEIC Contém figuras de Computer Organization and esign,. Patterson & J. Hennessey, 3 a. ed., MKP Tópicos Sistemas

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época de Julho de 4 ntes de começar o exame leia

Leia mais

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/ EELi02 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ TABELAS DE TRANSIÇÃO DE ESTADOS Q a Q f J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 Q a Q f D 0 0 0 0 1 1 1 0 0 1 1 1

Leia mais

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara

Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Flip-Flops (Aplicações) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Pulsos Digitais Pulso positivo: executa sua função quando está em nível alto Pulso negativo: executa sua função quando

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO

MÓDULO N.º 1 SISTEMAS DE NUMERAÇÃO HORAS / TEMPOS CONTEÚDOS OBJETIVOS AVALIAÇÃO ESCOLA SECUNDÁRIA JOÃO GONÇALVES ZARCO-402011 CURSO PROFISSIONAL TÉCNICO DE GESTÃO DE EQUIPAMENTOS INFORMÁTICOS SISTEMAS DIGITAIS E ARQUITETURA DE COMPUTADORES 10º 12 PLANIFICAÇÃO MODULAR MÓDULO N.º 1

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-T 2. Máquinas Sequencias Síncronas: Codificação de

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 8 Máquina de Estados Professor Dr. Michael Klug 1 Lembrando Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação dos valores das entradas neste

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de anta atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação istemas igitais INE 546 Aula 3-T 3. evisão de latches, flip-flops

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Circuitos Digitais - ECA. Prof. Dr. Fabian Vargas. Prof. Dr. Fabian Vargas Índice 1. Portas Lógicas 1.1 Introdução 1.2 Diagramas de Tempo 1.3 Análise Booleana de Circuitos Lógicos Básicos 2. Circuitos Combinacionais 2.1. Introdução aos Circuitos Combinacionais

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33

Sistemas Digitais para Computação. AULAS TEÓRICAS 19 a 33 Departamento de Computação Sistemas Digitais para Computação AULAS TEÓRICAS 9 a 33 Prof. MSc. Mário Oliveira Orsi Prof. MSc. Carlos Alexandre Ferreira de Lima Abril de 29 Sistemas Digitais para Computação

Leia mais

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q

Memorias. Flip-Flop RS básico (Assíncrono) preencher. Tabela da verdade S R Q A S Q Memorias Flip-Flop básico (Assíncrono) Tabela da verdade A preencher Flip-Flop básico (Assíncrono) Tabela da verdade esumindo: A = A = A = = = A X = X não permitido X não permitido Flip-Flops íncrono Com

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

UFSM-CTISM. Circuitos Digitais Contadores Aula-11

UFSM-CTISM. Circuitos Digitais Contadores Aula-11 UFSM-CTISM Circuitos Digitais Aula-11 Professor: Andrei Piccinini Legg Santa Maria, 2011 : digitais são circuitos implementados a partir de flip-flops; Existem basicamente 2 tipos de contadores: : a saída

Leia mais

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino Máquinas de Estados Finitos Aula 19 Prof. Abel Guilhermino Definição Um sistema seqüencial deve ter a capacidade de capturar a influência de todas as entradas passadas sobre as saídas atuais e futuras.

Leia mais

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Contadores assíncronos. Contadores

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops 1. Introdução Este trabalho foi concebido para que os alunos se familiarizem com o uso de latches e flip-flop. Inicia-se

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Circuitos sequenciais síncronos Parte II

Circuitos sequenciais síncronos Parte II Circuitos sequenciais síncronos Parte II Diagramas de estado Conceitos básicos Concepção de diagramas de estado Comparação do comportamento dos modelos de Moore e de Mealy Construção de tabelas de estado

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste R3 Sistemas igitais - M 26/7 3. luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [2 val] onsidere o diagrama de estados seguinte, que

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP Latch R Latch R R R R * 0 0 0 0 0 ** R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Contadores síncronos crescentes 2 Contadores síncronos decrescentes 3 Contadores

Leia mais

CAPÍTULO 7 CONTADORES

CAPÍTULO 7 CONTADORES CAPÍTULO 7 CONTADORES Introdução Contadores Assíncronos (Ripple) MOD número Divisão de Frequência Atraso de propagação nos contadores assíncronos Contadores Síncronos Contadores com MODnumber < 2 N Contadores

Leia mais

EA773 - Experimento 5

EA773 - Experimento 5 EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

CIRCUITOS DIGITAIS. Contadores e Registradores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores e Registradores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores e Registradores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Projeto de Contadores

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

4 Circuitos Seqüenciais

4 Circuitos Seqüenciais 4 ircuitos eqüenciais onforme já citado no capítulo 3, os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é

Leia mais

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE

UNIVERSIDADE DO ESTADO DE SANTA CATARINA - UDESC CENTRO DE CIÊNCIAS TECNOLÓGICAS CCT DEPARTAMENTO DE ENGENHARIA ELÉTRICA DEE P L A N O D E E N S I N O DEPARTAMENTO: Engenharia Elétrica DISCIPLINA: Eletrônica Digital SIGLA: ELD0001 PRÉ-REQUISITOS: ALB0001 CARGA HORÁRIA TOTAL: 108 h/aula TEORIA: 72 h/aula PRÁTICA: 36 h/aula CURSO:

Leia mais

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152.

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152. NOTA DE AULA NE7720 ITEMA DIGITAI - II AULA 2 Implementação de Flip-Flops dos tipos JK e R Livro Texto pág. 3 a 7 e 24 e 46 a 48 e 50 a 52..) Estudo do F/F tipo JK. a) Tabela da verdade do F/F tipo JK.

Leia mais

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS Sel 414 - Sistemas Digitais Prof. Homero Schiabel Síntese Sist. Síncronos Contagem = 0 Saídas: Z 1 = 0 Z 0 = 0 Contagem = 3 Saídas: Z 1 = 1 Z 0 = 1 A/00 D/11 Contagem

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES)

TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) CENTRO TECNOLÓGICO ESCOLA DE ENGENHARIA DEPARTAMENTO DE ENGENHARIA DE TELECOMUNICAÇÕES-TET APOSTILA DE TÉCNICAS DIGITAIS I (CURSO DE ENGENHARIA DE TELECOMUNICAÇÕES) &CIRCUITOS DIGITAIS (CURSO DE CIÊNCIAS

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135).

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135). AULA 8 Máquina de Estados Finitos F.S.M. (pg. 32 a 35).. Síntese de sistemas seqüenciais síncronos. Exemplo 3.5: Chave de carro segura. NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II A chave de automóvel

Leia mais

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS

CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 50 Sumário CAPÍTULO 4 CIRCUITOS SEQUENCIAIS II: CONTADORES ASSÍNCRONOS 4.1. Introdução... 52 4.2. Contadores Assíncronos Crescentes... 52 4.3. Contadores Assíncronos Decrescentes... 56 4.4. Contador Assíncrono

Leia mais

ção de Computadores II

ção de Computadores II Universidade Federal de Pelotas Instituto de Física e Matemática Departamento de Informática Bacharelado em Ciência da Computação Arquitetura e Organizaçã ção de Computadores II Aula 2 2. MIPS monociclo:

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Contadores Síncronos Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Contadores Síncronos Todos FFs recebem o clock simultaneamente

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Shift Registers e Contadores Assíncronos

Shift Registers e Contadores Assíncronos Shift Registers e Contadores Assíncronos Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 28 de maio de 2015 1 / 19 Registradores Registradores nada mais são que Flip-flops

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Flip-Flop RS Circuitos sequenciais tem suas saídas dependentes dos sinais de entrada, ou estados anteriores que permanecem armazenados O Flip-Flop: Dispositivo que possui dois estados

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 10: Contadores TOCCI, Sistemas Digitais, Sec. 7.1-7.10 http://sites.google.com/site/eletdigi/ Contadores Contadores são formados por FF que acionados

Leia mais