Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Tamanho: px
Começar a partir da página:

Download "Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna."

Transcrição

1 AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. 2. SISTEMAS SEQÜENCIAIS Um sistema no qual a sua saída futura depende não somente das entradas presentes como também do estado atual é chamado de sistema seqüencial. Os sistemas que operam no modo seqüencial podem ser sistemas síncronos onde a resposta de saída só se modifica ao comando de um sincronismo ou assíncrono, onde a resposta se modifica conforme a chegada dos sinais nas entradas do sistema e do tempo de propagação destes por cada bloco lógico. Um sistema seqüencial é uma máquina de estados cuja saída depende do estado atual do sistema e das entradas externas. Os estados atuais definidos como estados internos são armazenados na memória do sistema e é conhecido como a memória de estado. O circuito evolui de estado lógico para o próximo estado ou estado futuro pela combinação da entrada externa com o estado lógico da memória. Este novo estado passa a ser o estado atual e é armazenado na memória do sistema, assim alterando o estado lógico da memória. Para uma seqüência de eventos, o sistema executa uma seqüência de estados. Como a memória é finita o número de diferentes estados que o circuito pode percorrer será um número finito de estados. MODELO GERAL Máquina Seqüencial (Sincrona) Modelo Geral Externas U(k) Circuito Combinatório Saídas Atuais Z(m) Estado Atual Estado Futuro +1 n bits n = número de k = número de CLK m = número de Um circuito que possui memórias internas implementadas através de circuitos de memórias chamados de flipflops é capaz de realizar diversos tipos de aplicações, pela associação deles. 2.1 Exemplos de sistemas seqüenciais Pág. 1

2 Contadores; Registradores; Células de memórias; Outros. a) Classifique os itens abaixo em seqüenciais ou combinacionais. Sistemas Portas Lógicas Codificador Demultiplex Registrador Flip-flop Decodificador Somador/Subtrator Contador s Estáticas Unidade Lógica Aritmética Tipos combinatória Seqüencial 3. Modos de operações de um sistema seqüencial. Os modos de operações dos sistemas seqüenciais são: 3.1 Modo Seqüencial Assíncrono São circuitos seqüenciais 3.2 Modo Seqüencial Síncrono São circuitos seqüenciais 3.3 Relógio 4. MODELOS DE DESCRIÇÕES DE SISTEMAS Para os modos de operação síncronos e assíncronos, dois modelos podem descrever o comportamento dinâmico dos sistemas. São eles: modelo de Mealy e de Moore. Vamos trabalhar somente com sistemas seqüenciais síncronos. Pág. 2

3 SISTEMAS SEQUENCIAIS SÍNCRONOS MODELOS DE MOORE E MEALY (Caracterização) Modelo de Moore: Circuito no qual as saídas são funções diretas dos estados. Externas Est. Seguinte) Máquina de MOORE (ES) Saídas Atuais (EA) (Flip-Flops) Saída) CK Modelo de Mealy: Circuito no qual as saídas são funções dos estados e das entradas. Externas Est. Seguinte) (ES) (Flip-Flops) (EA) Saída) Saídas Atuais CP Nota: Em geral, os circuitos de Moore apresentam uma maior simplicidade na geração das saídas, enquanto os circuitos de Mealy conduzem a um menor número de estados e à eventual redução do número de FFs necessários. Pág. 3

4 5. Elemento de memória - Latch primitivo SC NOTAS DE AULAS NE SISTEMAS Digitais II Este é um elemento conhecido como Latch (circuito capaz de armazenar um ou mais bits), sendo: S = Set (carrega) e C = Clear (Limpa), utilizado como memória temporária(latch). A lógica deste elemento é descrita por uma tabela da verdade, cujas entradas são S e C mais o seu estado interno definem o estado futuro e a saída. a) Circuito Latch NE b) Tabela dinâmica de estados do SC S C S C > Estado Futuro. -> Estado Atual. P -> Condição Proibida. c) Tabela da verdade do SC d) Equação de estados S C SC = e) Tabela de transição do latch SC f) Representação por diagrama de estados do SC S C g) Formas de Ondas Exercícios Recomendados Repetir para o latch NOU. Pág. 4

5 IMPLEMENTAÇÃO EM VHDL NOTAS DE AULAS NE SISTEMAS Digitais II -- LATCH_NAND ENTITY aula_1 IS PORT( s,c : IN BIT; q : BUFFER BIT); END aula_1; ARCHITECTURE a OF aula_1 IS PROCESS (s,c) IF s = '0' THEN q <= '1'; -- set Q assincrono ELSIF c = '0' THEN q <= '0'; -- reset Q assincrono ELSE q <= q ; -- guarda Q END IF; END PROCESS; END a; USE ieee.std_logic_1164.all; ENTITY latch_ne_estrutural IS PORT( s, c: IN BIT; -- variaveis de entrada q,qn : BUFFER BIT); -- saídas END latch_ne_estrutural; ENTITY mynand2 IS Port(i1, i2 : IN BIT; o: OUT BIT); END mynand2; ARCHITECTURE Structural OF mynand2 IS o <= NOT(i1 AND i2); END Structural; ARCHITECTURE Structural OF latch_ne_estrutural IS COMPONENT mynand2 PORT( i1, i2: IN BIT; o: OUT BIT); END COMPONENT; U1: mynand2 port map(s,qn,q); U2: mynand2 port map(c,q,qn); END Structural; Pág. 5

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Disciplina ELETRÔNICA DIGITAL

Disciplina ELETRÔNICA DIGITAL Disciplina ELETRÔNICA DIGITAL Eletrônica Digital MÓDULO UM: Estudo dos sistemas seqüenciais e desenvolvimento de elemento de memória latch-ne e nascimento dos flip-flops tipos T, RS, JK e D, solução de

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152.

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152. NOTA DE AULA NE7720 ITEMA DIGITAI - II AULA 2 Implementação de Flip-Flops dos tipos JK e R Livro Texto pág. 3 a 7 e 24 e 46 a 48 e 50 a 52..) Estudo do F/F tipo JK. a) Tabela da verdade do F/F tipo JK.

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 8 Máquina de Estados Professor Dr. Michael Klug 1 Lembrando Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação dos valores das entradas neste

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/

EELi02. Prof. Vinícius Valamiel https://sites.google.com/site/vvalamiel/ EELi02 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ TABELAS DE TRANSIÇÃO DE ESTADOS Q a Q f J K 0 0 0 X 0 1 1 X 1 0 X 1 1 1 X 0 Q a Q f D 0 0 0 0 1 1 1 0 0 1 1 1

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-T 2. Máquinas Sequenciais Síncronas. Modelos de Moore

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Latches

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Máquinas de Estados Finitos de Paula Rodrigues Contexto Aplicações dos Flip-flops síncronos Armazenamento de dados Q Transferência

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. SEL Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. SEL Sistemas Digitais Prof. Homero Schiabel ANÁLISE DE SIST SEQUENCIAIS SÍNCRONOS SEL 414 - Sistemas Digitais Prof Homero Schiabel MODELOS DE SISTEMAS SEQUENCIAIS Introdução Sequência de cintilação de um conjunto de lâmpadas: 1 2 3 4 5 Soar um alarme

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 13 Sistemas Digitais Definição funcional: Aparato dotado de conjuntos

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Divisão de Engenharia Eletrônica Laboratório de ELE-20 Versão original: Prof. Duarte Lopes de Oliveira Versão digital : TCel. Fábio Durante Prof. de Laboratório: Prof. Giovanni Fernandes Amaral Sala 183 IEEA gfamaral@ita.br Divisão de Engenharia Eletrônica

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

EELi02. Prof. Vinícius Valamiel

EELi02. Prof. Vinícius Valamiel EELi2 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ APLICAÇÕES DE CIRCUITOS SEUENCIAIS BÁSICOS (REGISTRADORES E CONTADORES) REGISTRADORES DE DESLOCAMENTO (elemento

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Lógica Programável INE 5348 Aula 4 Revisão de latches, flip-flops

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE NE 772 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 2 Emprego de elementos de memória: Contadores síncronos de Paula Rodrigues Contadores síncronos Contexto Flip-flops disparados

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 6-P escrição em VHL, síntese e simulação de latches, flip-flops

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

Shift Registers e Contadores Assíncronos

Shift Registers e Contadores Assíncronos Shift Registers e Contadores Assíncronos Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 28 de maio de 2015 1 / 19 Registradores Registradores nada mais são que Flip-flops

Leia mais

CIRCUITOS SEQÜENCIAIS. Um modo de classificar os circuitos digitais seria subdividi-los em: - circuitos combinacionais; - circuitos seqüenciais.

CIRCUITOS SEQÜENCIAIS. Um modo de classificar os circuitos digitais seria subdividi-los em: - circuitos combinacionais; - circuitos seqüenciais. CIRCUITOS SEÜENCIAIS Um modo de classificar os circuitos digitais seria subdividi-los em: - circuitos combinacionais; - circuitos seqüenciais. Os circuitos combinacionais são aqueles em que as saídas dependem

Leia mais

Circuitos Seqüenciais Latches e Flip-Flops

Circuitos Seqüenciais Latches e Flip-Flops UNIVASF Eletrônica Digital I Circuitos Seqüenciais Latches e Flip-Flops Material do professor Rodrigo Ramos (UNIVASF) Circuitos Seqüenciais Circuitos Digitais Combinatório: As saídas, em qualquer instante,

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos ESTV-ESI-Sistemas Digitais-Circuitos Sequenciais Síncronos / Os circuitos sequenciais síncronos, também designados por máquinas sequenciais síncronas ou máquinas de estados,

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Contadores assíncronos. Contadores

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall FIGURA 5-1 Diagrama geral de um sistema digital. FIGURA 5-2 Símbolo geral para um flip-flop e definição dos seus dois estados de saída possiveis. FIGURA 5-3 Um latch com portas NDA tem dois estados de

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135).

AULA 8 Máquina de Estados Finitos F.S.M. (pg. 132 a 135). AULA 8 Máquina de Estados Finitos F.S.M. (pg. 32 a 35).. Síntese de sistemas seqüenciais síncronos. Exemplo 3.5: Chave de carro segura. NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II A chave de automóvel

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis 33 1. Objetivo Analisar a operação de circuitos biestáveis: latches e flip-flops tipo RS, JK, T e D. 2. Conceito Um latch ou um flip-flop também são conhecidos como dispositivos biestáveis. Os biestáveis

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Flip-Flop RS Circuitos sequenciais tem suas saídas dependentes dos sinais de entrada, ou estados anteriores que permanecem armazenados O Flip-Flop: Dispositivo que possui dois estados

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais Saídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABRE sistema digital de controlo

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados:

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados: UNIP PROVA P1 Eletrônica Digital I EE 7P01 / 6W01 Duração 90 min Turma A Sem Consulta 16/04/ 2010. Interpretação faz parte da prova. A prova vale 8,0. N.o NOME... Nota 1.a uestão : (Valor 1,5) O sistema

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Flip-Flop

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE SD - I 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais aídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABE sistema digital de controlo

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL Lógica Sequencial Na lógica seqüencial, os sinais de saída são resultados não

Leia mais

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel SÍNTESE DE SIST. SEQUENCIAIS SÍNCRONOS Sel 414 - Sistemas Digitais Prof. Homero Schiabel Síntese Sist. Síncronos Contagem = 0 Saídas: Z 1 = 0 Z 0 = 0 Contagem = 3 Saídas: Z 1 = 1 Z 0 = 1 A/00 D/11 Contagem

Leia mais

CIRCUITOS DIGITAIS. Contadores e Registradores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores e Registradores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores e Registradores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Projeto de Contadores

Leia mais

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 27 de Janeiro de 22 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Aula Anterior Na aula anterior: Projecto de máquinas de estados microprogramadas: Circuito de dados Circuito de controlo Implementação

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 9 Projeto de Blocos Seqüenciais Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram

Leia mais

Contador Síncrono Binário

Contador Síncrono Binário Trabalho Prático n o 8 Análise e Síntese de Máquinas de Estados Síncronas Contador Síncrono Binário 1 Introdução Este trabalho tem como objectivo: introduzir o conceito de máquinas de estados (máquinas

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 7-P escrição em VHL, síntese e simulação de registradores

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Flip-Flops Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Seqüenciais A saída de um circuito seqüencial depende da

Leia mais

Lógica: Combinacional x Sequencial

Lógica: Combinacional x Sequencial UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 6 - Flip Flop Multivibrador biestável Curitiba, 2 maio

Leia mais

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Latches e Flip Flops GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais ircuitos Sequenciais! ircuitos Sequenciais ircuitos em que há uma realimentação da saída para a entrada, denominada estado interno. As condições atuais da entrada e do estado interno determinem a condição

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores Prof.ª Msc. Patricia Pedroso Estevam Ribeiro Email: patriciapedrosoestevam@hotmail.com 26/11/216 1 Registradores de deslocamento

Leia mais

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 PROJETOS DIGITAIS E MICROPROCESSADORES CIRCUITOS SEUENCIAIS Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 INVERSORES CMOS PROJETOS DIGITAIS E MICROPROCESSADORES 2 INVERSORES CMOS PROJETOS

Leia mais

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS

Sistemas Digitais. Módulo 14 Prof. Celso CIRCUITOS SEQÜÊNCIAIS Módulo 4 Prof. Celso CIRCUITOS SEQÜÊNCIAIS s São estágios através dos quais um circuito seqüencial avança. Em cada estado o circuito armazena informação sobre sua história passada de modo que possa saber

Leia mais

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Circuitos Digitais Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Plano de Ensino e Aprendizagem ( PEA) OBJETIVOS DA AULA : - Iniciar o estudo dos Circuitos Sequenciais; - Conhecer os Circuitos

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais