Eletrônica Digital para Instrumentação. Herman Lima Jr.

Tamanho: px
Começar a partir da página:

Download "Eletrônica Digital para Instrumentação. Herman Lima Jr."

Transcrição

1 G03 Eletrônica Digital para Instrumentação Prof: Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI)

2 Parâmetros de circuitos integrados lógicos

3 Dispositivos TTL A B NAND

4 Circuitos integrados lógicos (TTL) (a) Com a saída TTL em nível BAIXO, Q 4 atua drenando corrente da carga. (b) Com a saída em nível ALTO, Q 3 atua fornecendo corrente para a carga.

5 Circuitos integrados lógicos

6 Circuitos integrados lógicos (TTL)

7 Circuitos integrados lógicos Três condições de saída: 1, 0 e tri-state (Z)

8 Circuitos integrados lógicos Buffers tri-state não inversores

9 Circuitos integrados lógicos (a) Buffers tri-state usados para conectar sinais a um barramento comum. (b) Condições para transmitir o sinal B para o barramento.

10 Famílias TTL

11

12 Compatibilidade entre famílias lógicas

13 Circuitos integrados lógicos Encapsulamentos típicos de CI s (Texas Instruments)

14 * Texas Instruments

15 * Texas Instruments

16 Avanço da Tecnologia TTL FPGA 14 nm Dimensão do transistor e Quantidade de transistores por área ao longo dos anos

17 Avanço da Tecnologia - exemplos CycloneIV (2) CycloneV (2) Tecnologia Função Lógica 74LS08 (1) 1966 L ~ nm (5,0V) 4 portas lógicas AND Tecnologia Lógica programável 2009 L = 60 nm (1,2V core voltage) LEs (>343k portas) 2011 L = 28 nm (1,1V core voltage) até LEs (>900k portas) Velocidade 45MHz Velocidade (clock) < 437 MHz < 625 MHz Memória - Memória < 6 Mb < 12 Mb Multiplicadores Pinos de I/O I/O programável Preço unitário (US$) - 12 NÃO US$0,22 (> 1k) Multiplicadores Pinos de I/O I/O programável Preço unitário (US$) < 266 (18 bits X 18 bits) < 532 SIM US$ 11,95 (menor dispositivo) < 684 (18 bits X 18 bits) < 560 SIM US$ 34,81 (menor dispositivo) (1) Texas Instruments (2) Altera

18 Lógica Programável Célula lógica típica de uma FPGA (Logic Element)

19 Circuitos sem memória e com memória combinacional sequencial

20 Diagrama genérico de um circuito digital Menor elemento de memória: FLIP-FLOP IN CLOCK OUT

21 Símbolo de um Flip-Flop e os dois estados de saída possíveis * O termo estado do flip-flop sempre faz referência à saída NORMAL (Q).

22 FF S-C com portas NAND Os dois estados estáveis possíveis quando SET=CLEAR=1 Ao se ligar um FF, existem chances iguais do estado inicial ser baixo e alto. Fatores como atrasos internos de propagação, capacitâncias parasitas e carga externa definem o estado inicial. O FF S-C NAND opera com pulsos ativos em nível baixo nas entradas SET e CLEAR. A B NAND O estado atual das saídas depende do que ocorreu anteriormente nas entradas SET e CLEAR.

23 Pulsando a entrada SET para o nível baixo (a) Q=0 antes do pulso na entrada SET; (b) Q=1 antes do pulso na entrada SET. A B NAND Nos dois casos a saída Q termina em nível ALTO.

24 Pulsando a entrada CLEAR para o nível baixo (a) Q=0 antes do pulso na entrada CLEAR; (b) Q=1 antes do pulso na entrada CLEAR. A B NAND Nos dois casos a saída Q termina em nível BAIXO.

25 Tabela-verdade do FF S-C com portas NAND Q 0 é o estado anterior Q 0 A B NAND O caso em que SET=CLEAR=0 produz resultados imprevisíveis, uma vez que as duas saídas serão forçadas para nível alto. NAO SE UTILIZA O LATCH NESTA CONDIÇÃO.

26 Sinais de clock circuitos síncronos h Duty Cycle D (%) = h 100 T T(s) Frequência F (Hz) = 1 T(s)

27 Flip-Flop síncrono com entrada de clock (CLK) (a) por borda de subida do clock (b) por borda de descida do clock As entradas de controle determinam o efeito da transição ativa do clock.

28 Setup Time e Hold Time 50% da amplitude máxima

29 (a) Flip-flop SC síncrono com a borda positiva do pulso de clock; (b) Tabela-verdade; (c) Forma de onda típica.

30 Flip-flop JK síncrono com a borda positiva do clock única diferença em relação ao FF S-C NOR

31 Registrador de deslocamento de quatro bits com flip-flop JK DATA IN J X 3 J X 2 J X 1 J X 0 K K K K PULSOS DE DESLOCAMENTO

32 Contador binário de três bits (módulo 8) com flip-flop JK

33 Flip-flop tipo D síncrono com a borda positiva do clock

34 Descrição VHDL de um flip-flop D síncrono com a borda positiva do clock dff RST

35 Transferência de dados paralela utilizando flip-flop D princípio de circuitos SÍNCRONOS

36 Atraso de propagação em FFs síncronos Atraso de tempo entre a transição ativa do clock e o instante em que a saída comuta.

37 Flip-flop D sincronizando a transmissão de um sinal de clock

38 Transferência serial de dados de um registrador X para um registrador Y

39 Microprocessador transferindo dados para um registrador externo

40 Flip-Flops em FPGAs Bloco Lógico (LE) da família Cyclone IV (Altera).

41 Registrador de deslocamento em anel de 4 bits com flip-flop D

42 Registrador em anel - VHDL entity shift_reg is port(clk : in bit; q : out bit_vector(3 downto 0)); architecture vhdl of shift_reg is signal ser_in : bit; begin process(clk) variable ff : bit_vector(3 downto 0); begin if (ff(3 downto 1) = 000 ) then ser_in <= 1 ; -- auto início else ser_in <= 0 ; end if; clk q3 q2 q1 q0 if (clk event and clk= 1 ) then ff := (ser_in & ff(3 downto 1)); -- deslocamento p/direita end if; ser_in ff3 ff2 ff1 q <= ff; q3 q2 q1 q0 end process; end vhdl;

43 Decodificador

44 Decodificador 3 pra 8

45 Codificador

46 Codificador Octal para Binário (8 pra 3) Apenas uma entrada deve ser ativada de cada vez.

47 Multiplexador SELEÇÃO

48 Implementação do multiplexador de 2 entradas

49 Implementação do multiplexador de 4 entradas

50 Demultiplexador

51 Demultiplexador de 1 pra 8

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1 Eletrônica Digital II Flip-Flop s Prof. Msc. Getúlio Teruo Tateoki 1 Diagrama geral de um sistema digital Prof. Msc. Getúlio Teruo Tateoki 2 Símbolo geral para um flip-flop e seus dois estados de saída

Leia mais

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall FIGURA 5-1 Diagrama geral de um sistema digital. FIGURA 5-2 Símbolo geral para um flip-flop e definição dos seus dois estados de saída possiveis. FIGURA 5-3 Um latch com portas NDA tem dois estados de

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Flip-Flop

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 09: Considerações Gerais e Aplicações de Flip-Flop TOCCI, Sistemas Digitais, Sec. 5.11-5.23 http://sites.google.com/site/eletdigi/ 1 Entradas Assíncronas

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

Capítulo 1 Conceitos Introdutórios

Capítulo 1 Conceitos Introdutórios Capítulo 1 Conceitos Introdutórios slide 1 1.4 Sistemas de Números Digitais Compreender os sistemas digitais requer um entendimento dos sistemas decimal, binário, octal e hexadecimal. Decimal dez símbolos

Leia mais

Shift Registers e Contadores Assíncronos

Shift Registers e Contadores Assíncronos Shift Registers e Contadores Assíncronos Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 28 de maio de 2015 1 / 19 Registradores Registradores nada mais são que Flip-flops

Leia mais

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Latches e Flip Flops GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Lógica Programável INE 5348 Aula 4 Revisão de latches, flip-flops

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan Portas Lógicas Flip-Flop Mapa de Karnaugh Simbologias Representação Binária Tabela Verdade Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan

Leia mais

Capítulo VII Elementos de Memória

Capítulo VII Elementos de Memória Capítulo VII Elementos de Memória 1 Introdução Neste capítulo estudaremos dispositivos lógicos com dois estados estáveis, o estado SET e o estado RESET. Por isto, tais dispositivos são denominados dispositivos

Leia mais

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152.

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152. NOTA DE AULA NE7720 ITEMA DIGITAI - II AULA 2 Implementação de Flip-Flops dos tipos JK e R Livro Texto pág. 3 a 7 e 24 e 46 a 48 e 50 a 52..) Estudo do F/F tipo JK. a) Tabela da verdade do F/F tipo JK.

Leia mais

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 PROJETOS DIGITAIS E MICROPROCESSADORES CIRCUITOS SEUENCIAIS Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 INVERSORES CMOS PROJETOS DIGITAIS E MICROPROCESSADORES 2 INVERSORES CMOS PROJETOS

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Flip-Flop RS Circuitos sequenciais tem suas saídas dependentes dos sinais de entrada, ou estados anteriores que permanecem armazenados O Flip-Flop: Dispositivo que possui dois estados

Leia mais

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL

UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL UFAL- Campus Arapiraca- Equipe:Igor Rafael, Matheus Torquato, Onassys Constant, Arthur Erick, Luis Eduardo. LÓGICA SEQUENCIAL Lógica Sequencial Na lógica seqüencial, os sinais de saída são resultados não

Leia mais

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops Prof. Antonio Heronaldo de Sousa Agenda - Circuitos Sequenciais - Conceitos - Conceito e circuitos de Latch RS - Aplicações do

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Flip-Flops Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Seqüenciais A saída de um circuito seqüencial depende da

Leia mais

Armazenamento e Transferência de Dados

Armazenamento e Transferência de Dados Armazenamento e Transferência de Dados Prof. André Rabelo Slides: Sistemas Digitais(Bibliografia básica) Armazenamento e Transferência de Dados FFs são comumente usados para armazenamento e transferência

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

Circuitos Seqüenciais Latches e Flip-Flops

Circuitos Seqüenciais Latches e Flip-Flops UNIVASF Eletrônica Digital I Circuitos Seqüenciais Latches e Flip-Flops Material do professor Rodrigo Ramos (UNIVASF) Circuitos Seqüenciais Circuitos Digitais Combinatório: As saídas, em qualquer instante,

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Circuitos Aritméticos 1. Construa a tabela verdade de um somador completo (FA) de um bit e

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 11 Elementos de memória Parte 2/2 de Paula Rodrigues Elementos de memória Contexto Flip-flops (FFs) disparados por borda Versáteis

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC,

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC, MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações REGISTRADORES Marcos Moecke São José - SC, 24-2 SUMÁRIO 6. REGISTRADORES... 1 6.1 REGISTRADORES DO TIPO PORTA PARALELA...1

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS PROGRAMA Disciplina: ELETRÔNICA DIGITAL Código: ELET0037 Carga Horária Semestral: 60 HORAS Obrigatória: sim Eletiva: Número de Créditos: TEÓRICOS: 04; PRÁTICOS: 00; TOTAL: 04 Pré-Requisito: ELET0033 ELETRONICA

Leia mais

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO

CENTRO FEDERAL DE EDUCAÇÃO TECNOLÓGICA DE MINAS GERAIS UNIDADE DE ENSINO SUPERIOR CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA PLANO DE ENSINO DISCIPLINA ELETRÔNICA DIGITAL I Validade: A partir de 0/0. Departamento Acadêmico de Engenharia Elétrica Código SELD101 Carga Horária total: 90h Teórica: 0h Laboratório: 30h Exercício: 00h Créditos: 0

Leia mais

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Contadores assíncronos. Contadores

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Latches. Flip-Flops ALBERTO WILLIAN MASCARENHAS.

Latches. Flip-Flops ALBERTO WILLIAN MASCARENHAS. Latches e Flip-Flops ALBERTO WILLIAN MASCARENHAS Circuitos combinacionais Em qualquer instante de tempo, níveis lógicos das saídas depende apenas dos níveis lógicos das entradas Condições de entrada anteriores

Leia mais

ELETRÔNICA DIGITAL 1 CAPÍTULO 4 FLIP-FLOP E LATCH

ELETRÔNICA DIGITAL 1 CAPÍTULO 4 FLIP-FLOP E LATCH ENTRO FEDERL DE ENSINO TENOLÓGIO DE SNT TRIN UNIDDE DESENTRLIZD DE SÃO JOSÉ URSO TÉNIO DE TELEOMUNIÇÕES ELETRÔNI DIGITL 1 PÍTULO 4 FLIP-FLOP E LTH Prof. Jorge H.. asagrande RIL 2005 PÍTULO 4 FLIP-FLOP

Leia mais

DADOS DO COMPONENTE CURRICULAR

DADOS DO COMPONENTE CURRICULAR PLANO DE ENSINO DADOS DO COMPONENTE CURRICULAR Nome do Componente Curricular: Sistemas Digitais Curso: Técnico Integrado de Nível Médio em Informática Série/Período: 1º ano Carga Horária: 2 a/s - 80 h/a

Leia mais

Lista de Exercícios 6 Elementos de memória: latches, flip-flops e registradores

Lista de Exercícios 6 Elementos de memória: latches, flip-flops e registradores Universidade Federal de Itajubá ITI - Instituto de ngenharia de istemas e Tecnologia da Informação LT0 letrônica igital I Lista de xercícios lementos de memória: latches, flip-flops e registradores ) Levante

Leia mais

FLIP-FLOPS: RS e D (teoria)

FLIP-FLOPS: RS e D (teoria) FLIP-FLOPS: RS e D (teoria) A eletrônica digital divide-se basicamente em duas áreas: a) lógica combinacional: que é caracterizada por circuitos cujas saídas dependem das entradas presentes no instante

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Lógica: Combinacional x Sequencial

Lógica: Combinacional x Sequencial UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 6 - Flip Flop Multivibrador biestável Curitiba, 2 maio

Leia mais

Normalmente o registrador de deslocamento é constituído de um conjunto de FFs (Flip-Flops) destinados a armazenar dados binários.

Normalmente o registrador de deslocamento é constituído de um conjunto de FFs (Flip-Flops) destinados a armazenar dados binários. O registrador de deslocamento (do inglês Shift-Register) é um dispositivo largamente usado em sistemas digitais, desde uma simples calculadora de bolso, teclados para introdução de códigos até teclados

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional

Circuitos Digitais. Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Circuitos Digitais Prof. Esp. Pedro Luís Antonelli Anhanguera Educacional Plano de Ensino e Aprendizagem ( PEA) OBJETIVOS DA AULA : - Iniciar o estudo dos Circuitos Sequenciais; - Conhecer os Circuitos

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

CIRCUITOS DIGITAIS. Contadores e Registradores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores e Registradores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores e Registradores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Projeto de Contadores

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE NE 772 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores Aula 11 - Circuitos Sequenciais Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br Copyright 2010, IFPE. Creative Commons BY-SA 3.0 license Latest update: 30 de Mai

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

Circuitos Digitais. Conteúdo. Circuitos Sequenciais. Combinacionais x Sequenciais. Circuitos Sequenciais. Circuitos Sequenciais

Circuitos Digitais. Conteúdo. Circuitos Sequenciais. Combinacionais x Sequenciais. Circuitos Sequenciais. Circuitos Sequenciais Ciência da Computação Conteúdo Circuitos Combinacionais Sequenciais Flip-Flops e Dispositivos Sequenciais Flip-Flop RS com Entrada de Clock com Entradas Preset e Clear Prof. Sergio Ribeiro Exercício Material

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais ircuitos Sequenciais! ircuitos Sequenciais ircuitos em que há uma realimentação da saída para a entrada, denominada estado interno. As condições atuais da entrada e do estado interno determinem a condição

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

ELETRÔNICA DIGITAL. Parte 12 Latches e Flip-Flops. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 12 Latches e Flip-Flops. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 2 Latches e Flip-Flops Professor Dr. Michael Klug Circuitos Sequenciais Circuitos Combinacionais: As saídas em qualquer instante de tempo dependem apenas dos valores das entradas

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Os circuitos seqüenciais podem ser classificados em três tipos:

Os circuitos seqüenciais podem ser classificados em três tipos: 1 Circuitos Combinacionais: São circuitos cuja saída depende apenas dos valores das entradas. Circuitos Sequenciais: São circuitos cuja saída depende tanto do valor atual das entradas quanto do valor anterior

Leia mais

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 09. Projeto de Blocos Seqüenciais. Prof. Sandro Vilela da Silva Centro Federal de Educação Tecnológica de Pelotas CEFET-RS Projeto Físico F Digital Aula 9 Projeto de Blocos Seqüenciais Prof. Sandro Vilela da Silva sandro@cefetrs.tche.br Copyright Parte dos slides foram

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 07 Aplicação de circuitos combinacionais: roteamento e codificação de dados de Paula Rodrigues Codificação e roteamento Contexto

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 4 Contadores Assíncronos SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Assíncronos X Síncronos l Contadores Assíncronos: O CLK é colocado apenas no primeiro FF (LSB) l Contadores

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO UFMT 1) IDENTIFICAÇÃO: Disciplina: Lógica Matemática e Elementos de Lógica Digital Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO Curso:

Leia mais

Lab2. Germano Maioli Penello IF-UFRJ aula 13.

Lab2. Germano Maioli Penello IF-UFRJ aula 13. Lab2 aula 13 www.if.ufrj.br/~gpenello/lab2_2018-2.html Germano Maioli Penello IF-UFRJ 2018-2 1 Perguntas O que é um circuito multivibrador astável? A. Circuito que gera onda senoidal; B. Circuito que gera

Leia mais

Latch SR (Set/Reset)

Latch SR (Set/Reset) Memória Nível da Lógica Digital (Aula 8) Memória Nível Lógico A memória é usada para armazenar tanto instruções a serem executadas quanto os dados usados na execução de algumas dessas instruções Para se

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE SD - I 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 2 Emprego de elementos de memória: Contadores síncronos de Paula Rodrigues Contadores síncronos Contexto Flip-flops disparados

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores Prof. Antonio Heronaldo de Sousa Agenda - Contadores - Conceitos - Contadores Assíncronos - Máquina de Estados Finitos - Contadores Assíncronos

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP Latch R Latch R R R R * 0 0 0 0 0 ** R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE

Leia mais