AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

Tamanho: px
Começar a partir da página:

Download "AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200."

Transcrição

1 AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a Contadores Assíncronos comerciais CI Configuração Interna. 1.2 Bloco contador assincrono ou modulante, tabela da verdade e configuração CI R01 R02 CP0 CP1 Estado Q s 1 1 x x Zera Q s 0 x 1 1 Mantém Q s x Mantém Q s 0 x 1 Q 0 0 X 1 Q Resposta em freqüência. Considerando se o tempo de propagação de um F/F igual a 20ns, sendo o contador de 4 F/Fs então : 1 f MAX = 2. Divisor de freqüência para módulos diferentes de múltiplos de 2 n. 2.1 Divisão por 3. a) Representação por diagrama de estados. b) Tabela da verdade do divisor. 0 /0 1 /0 2 / Formas de Ondas. CLK Q 2 Q 1 Saída Meta Estado Pág. 23

2 3.1 Divisão por 5 Usando a configuração dos 3 F/Fs : a) Entrada por CP1 e os bits de saída Q 1, Q 2 e Q 3. b) Tabela da verdade Q 3 Q 2 Q 1 Estado Meta c) Configuração do Contador 5.3 Divisão por Pág. 24

3 a) Configuração do divisor e tabela da verdade Q 3 Q 2 Q 1 Estado Meta 6. Formas de Ondas. 6.1 Divisão por 10 Usando a configuração dos 4 F/Fs : a) Entrada por CP0 e os bits de saída Q 0, Q 1, Q 2 e Q 3. b) Tabela da verdade Q 3 Q 2 Q 1 Q 0 Estado Meta c) Configuração do Contador Pág. 25

4 6.2 Formas de Ondas 7. Contadores Integrados Série Binário e Decádicos. CLK ENP ENT CLR LOAD D C B A RCO Q D Q C Q B Q A Q D Q C Q B Q A CLR LOAD ENP ENT CLK Função Componente L X X X X Clear 160/161 Assíncrono L X X X Clear 162/163 Síncrono H L X X Carga Todos Síncrona H H H H Crescente Todos H H L X X n/ altera Todos H H X L X n/ altera Todos 7.1 Formas de Ondas para análise Contador binário Aplicamos algumas condições de entrada para análise da operação do contador. Pág. 26

5 Outras divisões usando portas auxiliares. 7,11,13,14 e VHDL divisor módulo Divisor módulo 5 com contador de 3 bits com Flip-flop JK e -- Realimentação pelas entradas Reset R01 e R02. ENTITY divisor_mod5 IS PORT ( clock :IN BIT; qsaida : BUFFER BIT_VECTOR ( 2 DOWNTO 0)); END divisor_mod5; Pág. 27

6 ARCHITECTURE contador OF divisor_mod5 IS SIGNAL ALTO,m :BIT; COMPONENT neg_jk PORT (clk,j,k,clr :IN BIT; q :OUT BIT); END COMPONENT; BEGIN ALTO <='1'; m <= NOT (qsaida(0) AND qsaida(2) AND NOT qsaida(1)); NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II ff0: neg_jk PORT MAP (j => ALTO, ff1: neg_jk PORT MAP (j => ALTO, ff2: neg_jk PORT MAP (j => ALTO, END contador; K => ALTO, clk => clock, clr => m, q => qsaida(0)); K => ALTO, clk => qsaida(0), clr => m, q => qsaida(1)); K => ALTO, clk => qsaida(1), clr => m, q => qsaida(2)); ENTITY neg_jk IS PORT (clk,j,k,clr q END neg_jk; :IN BIT; :OUT BIT); ARCHITECTURE ff OF neg_jk IS SIGNAL qestado :BIT; BEGIN PROCESS (clk) -- Sinais de entrada BEGIN IF clr = '0' THEN qestado <= '0'; -- Limpa Q ELSIF (clk'event AND clk ='0') THEN -- Na borda de descida do clock IF J ='1' AND K ='1' THEN qestado <= NOT qestado; -- Set Q ELSIF J ='1' AND K ='0' THEN qestado <= '1'; ELSIF J ='0' AND K ='1' THEN qestado <= '0'; END IF; END IF; END PROCESS; q <= qestado; END ff; 2. Formas de Ondas divisor módulo 5. Pág. 28

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR AULA 04 Entradas Assíncronas, preset e clear, borda de subida e descida, set-up e hold, associação de F/Flop tipo T, contador assíncrono crescente e decrescente. Livro Texto pág. 149 a 152 1. Entradas

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan Portas Lógicas Flip-Flop Mapa de Karnaugh Simbologias Representação Binária Tabela Verdade Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152.

AULA 2 Implementação de Flip-Flops dos tipos JK e RS Livro Texto pág. 113 a 117 e 124 e 146 a 148 e 150 a 152. NOTA DE AULA NE7720 ITEMA DIGITAI - II AULA 2 Implementação de Flip-Flops dos tipos JK e R Livro Texto pág. 3 a 7 e 24 e 46 a 48 e 50 a 52..) Estudo do F/F tipo JK. a) Tabela da verdade do F/F tipo JK.

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados:

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados: UNIP PROVA P1 Eletrônica Digital I EE 7P01 / 6W01 Duração 90 min Turma A Sem Consulta 16/04/ 2010. Interpretação faz parte da prova. A prova vale 8,0. N.o NOME... Nota 1.a uestão : (Valor 1,5) O sistema

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 4 Contadores Assíncronos SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Assíncronos X Síncronos l Contadores Assíncronos: O CLK é colocado apenas no primeiro FF (LSB) l Contadores

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Contadores Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Contadores assíncronos. Contadores

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Contadores Síncronos Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Contadores Síncronos Todos FFs recebem o clock simultaneamente

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE SD - I 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Flip-Flop

Leia mais

Shift Registers e Contadores Assíncronos

Shift Registers e Contadores Assíncronos Shift Registers e Contadores Assíncronos Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 28 de maio de 2015 1 / 19 Registradores Registradores nada mais são que Flip-flops

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

Lab2. Germano Maioli Penello IF-UFRJ aula 13.

Lab2. Germano Maioli Penello IF-UFRJ aula 13. Lab2 aula 13 www.if.ufrj.br/~gpenello/lab2_2018-2.html Germano Maioli Penello IF-UFRJ 2018-2 1 Perguntas O que é um circuito multivibrador astável? A. Circuito que gera onda senoidal; B. Circuito que gera

Leia mais

TABELA DO F/F. T Q n Q n+1

TABELA DO F/F. T Q n Q n+1 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE NE 772 1. Partindo de um F/F do tipo D, construir um F/F do tipo T. Pede-se : a ) A equação de estados do F/F b) Circuito transformado em F/F tipo

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores Prof. Antonio Heronaldo de Sousa Agenda - Contadores - Conceitos - Contadores Assíncronos - Máquina de Estados Finitos - Contadores Assíncronos

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Contadores síncronos crescentes 2 Contadores síncronos decrescentes 3 Contadores

Leia mais

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall

FIGURA 5-1 Diagrama geral de um sistema digital. Sistemas Digitais: Princípios e Aplicações Ronald J. Tocci e Neal S. Widmer Capítulo 5 Prentice Hall FIGURA 5-1 Diagrama geral de um sistema digital. FIGURA 5-2 Símbolo geral para um flip-flop e definição dos seus dois estados de saída possiveis. FIGURA 5-3 Um latch com portas NDA tem dois estados de

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores Prof.ª Msc. Patricia Pedroso Estevam Ribeiro Email: patriciapedrosoestevam@hotmail.com 26/11/216 1 Registradores de deslocamento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Lógica Programável INE 5348 Aula 4 Revisão de latches, flip-flops

Leia mais

Armazenamento e Transferência de Dados

Armazenamento e Transferência de Dados Armazenamento e Transferência de Dados Prof. André Rabelo Slides: Sistemas Digitais(Bibliografia básica) Armazenamento e Transferência de Dados FFs são comumente usados para armazenamento e transferência

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Flip-Flops Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Seqüenciais A saída de um circuito seqüencial depende da

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops Prof. Antonio Heronaldo de Sousa Agenda - Circuitos Sequenciais - Conceitos - Conceito e circuitos de Latch RS - Aplicações do

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 2 Emprego de elementos de memória: Contadores síncronos de Paula Rodrigues Contadores síncronos Contexto Flip-flops disparados

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 10: Contadores TOCCI, Sistemas Digitais, Sec. 7.1-7.10 http://sites.google.com/site/eletdigi/ Contadores Contadores são formados por FF que acionados

Leia mais

Exercícios Referentes à Prova P2

Exercícios Referentes à Prova P2 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Exercícios Referentes à Prova P2 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 Exercícios 1. Faça um programa VHDL de uma máquina

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

Lógica: Combinacional x Sequencial

Lógica: Combinacional x Sequencial UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 6 - Flip Flop Multivibrador biestável Curitiba, 2 maio

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

mod 2 n Sequência truncada

mod 2 n Sequência truncada 25/5/27 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 8 Contadores parte 2 Curitiba, 26 maio de 27.

Leia mais

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1

Eletrônica Digital II. Flip-Flop s. Prof. Msc. Getúlio Teruo Tateoki 1 Eletrônica Digital II Flip-Flop s Prof. Msc. Getúlio Teruo Tateoki 1 Diagrama geral de um sistema digital Prof. Msc. Getúlio Teruo Tateoki 2 Símbolo geral para um flip-flop e seus dois estados de saída

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 09: Considerações Gerais e Aplicações de Flip-Flop TOCCI, Sistemas Digitais, Sec. 5.11-5.23 http://sites.google.com/site/eletdigi/ 1 Entradas Assíncronas

Leia mais

Contador. A ideia básica de um contador. Os flip-flops podem ser conectados juntos para realizar

Contador. A ideia básica de um contador. Os flip-flops podem ser conectados juntos para realizar A função de contagem é importante em sistemas digitais. Existem muitos tipos de contadores digitais, mas a finalidade básica deles é contar eventos representados por transições de níveis ou pulsos. Para

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Contadores síncronos Contadores síncronos de módulo < 2 N Contadores síncronos decrescentes Contadores

Leia mais

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2 TIPO INTEGER 2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FF3_tipoD_nivel IS PORT(CLK, RST, SET : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END FF3_tipoD_nivel;

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Circuitos Seqüenciais Latches e Flip-Flops

Circuitos Seqüenciais Latches e Flip-Flops UNIVASF Eletrônica Digital I Circuitos Seqüenciais Latches e Flip-Flops Material do professor Rodrigo Ramos (UNIVASF) Circuitos Seqüenciais Circuitos Digitais Combinatório: As saídas, em qualquer instante,

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Latches

Leia mais

CAPÍTULO 7 CONTADORES

CAPÍTULO 7 CONTADORES CAPÍTULO 7 CONTADORES Introdução Contadores Assíncronos (Ripple) MOD número Divisão de Frequência Atraso de propagação nos contadores assíncronos Contadores Síncronos Contadores com MODnumber < 2 N Contadores

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

FEI PROVA P1 SISTEMAS DIGITAIS II - NE /04/ TURMA A - Duração 80 min Sem Consulta Interpretação faz parte da prova. N.

FEI PROVA P1 SISTEMAS DIGITAIS II - NE /04/ TURMA A - Duração 80 min Sem Consulta Interpretação faz parte da prova. N. FEI PROVA P1 SISTEMAS DIGITAIS II - NE 7720 04/04/2009 - TURMA A - Duração 80 min Sem Consulta Interpretação faz parte da prova. N.o N.o da Lista Nome...Nota... 1.a Questão: (Valor 2,0) Para o circuito

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

ESTUDO DOS CIs 74LS90, 74LS92 e 74LS93

ESTUDO DOS CIs 74LS90, 74LS92 e 74LS93 ESTUDO DOS CIs 74LS90, 74LS92 e 74LS93 Esses contadores são conhecidos como contadores de década, tendo características especiais quanto às funções que podem desempenhar, daí então, a grande versatilidade

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 7-P escrição em VHL, síntese e simulação de registradores

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação Aula 6-P escrição em VHL, síntese e simulação de latches, flip-flops

Leia mais

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

Capítulo 5 - Flip-Flops e Dispositivos Correlatos Capítulo 5 - Flip-Flops e Dispositivos Correlatos Introdução: Os circuitos considerados até o momento eram todos circuitos combinacionais, onde a saída é determinada pelos valores presentes nas entradas,

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores Aula 11 - Circuitos Sequenciais Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br Copyright 2010, IFPE. Creative Commons BY-SA 3.0 license Latest update: 30 de Mai

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

CARRREGA MULTIPLICANDO/MULTIPLICADOR NOS REGISTRADORES. = 0 DESLOQUE REG. PRODUTO 1 BIT A DIR DESLOQUE REG. MULT_ADOR. 1 BIT A DIR.

CARRREGA MULTIPLICANDO/MULTIPLICADOR NOS REGISTRADORES. = 0 DESLOQUE REG. PRODUTO 1 BIT A DIR DESLOQUE REG. MULT_ADOR. 1 BIT A DIR. NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA 20 - Nível de Transferência entre Registradores RTL. Apostila de fluxo de dados. EXERCÍCIO 2: Dado o fluxo de dados abaixo, definir as palavras de controle

Leia mais

Capítulo 7 Contadores e Registradores

Capítulo 7 Contadores e Registradores Capítulo 7 Contadores e Registradores slide 1 Os temas abordados nesse capítulo são: - Operação e características dos contadores síncronos e assíncronos. - Análise e avaliação dos vários tipos de contadores.

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital VHDL Prof. Maurício A Dias Laboratório de Lógica Digital Introdução VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. Origem: VHDL é proveniente de VHSIC Hardware Description

Leia mais

Pré-Laboratório (Para ser entregue no início da aula prática)

Pré-Laboratório (Para ser entregue no início da aula prática) UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia de Sistemas e Tecnologia da Informação LABORATÓRIO DE ELETRÔNICA DIGITAL I ELT 29 Atividade de Laboratório 6 Aluno: Aluno: Aluno: Mat.: Mat.: Mat.:

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes 9/5/26 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes AULA 8 CONTADORES Em 2 de maio de 26. VARIAÇÕES DO FF- Tipo

Leia mais

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Circuitos Aritméticos 1. Construa a tabela verdade de um somador completo (FA) de um bit e

Leia mais

Aluno(a): Matrícula:

Aluno(a): Matrícula: Universidade Federal de Juiz de Fora (UFJF) Faculdade de Engenharia Prof.: Fabrício P. V. Campos 24/abril/212 Disciplina: Eletrônica Digital 1 o TVC luno(a): Matrícula: 1 a Questão: Considerando o projeto

Leia mais

EELi02. Prof. Vinícius Valamiel

EELi02. Prof. Vinícius Valamiel EELi2 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ APLICAÇÕES DE CIRCUITOS SEUENCIAIS BÁSICOS (REGISTRADORES E CONTADORES) REGISTRADORES DE DESLOCAMENTO (elemento

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Projeto Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Conteúdo descrição lógica de alto nível (VHDL): componentes da plataforma como memória, contadores,

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais