Arquitectura de Computadores (ACom)

Tamanho: px
Começar a partir da página:

Download "Arquitectura de Computadores (ACom)"

Transcrição

1 Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão Português Aula N o 13: Título: P3 - Sumário: Unidade de do P3 (micro-sequenciador, teste de variáveis, memórias de mapeamento, do banco de registos, unidade de );. 2015/2016 Nuno.Roma@tecnico.ulisboa.pt

2 Arquitectura de Computadores (ACom) P3 - Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 1 / 50 Aula Anterior Na aula anterior... P3 - Unidade de Processamento: Estrutura interna de um processador Unidade de do processador P3 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 2 / 50

3 Road Map Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 3 / 50 Planeamento Planeamento Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 4 / 50

4 Sumário Hoje: P3 - : Bibliografia: Secções 12.2 e 12.3 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 5 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 6 / 50

5 Unidade de Processamento do P3 WR SelAD 4 4 SelB Reset CULA MA 5 16 A MB 0 1 MUXA MUXB BUS A D 16 Banco de registos B Unidade lógica e aritmética 16 BUS B 16 4 Estado WM FM 4 5 FIN LF RE FR Registo de estado 000h 16 FOUT 11 5 W Endereço Memória Escrita de dados Leitura de dados MD MUXD CONST LI RI Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 7 / 50 Tabela de Micro-operações da ULA S 4 S 3 S 2 S 1 S 0 Microoperação R A + B soma R A B subtracção R A + B + C soma com bit transporte R A B C subtracção com transporte negado R A 1 decremento R A + 1 incremento R A C decremento, se C = R A + C incremento, se C = R A complemento R A B conjunção R A B disjunção R A B disjunção exclusiva R shr A deslocamento lógico à direita R shl A deslocamento lógico à esquerda R shra A deslocamento aritmético à direita R shla A deslocamento aritmético à esquerda R ror A rotação à direita R rol A rotação à esquerda R rorc A rotação à direita com transporte R rolc A rotação à esquerda com transporte R A transferência Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 8 / 50

6 Unidade de Processamento do P3 WR SelAD 4 4 SelB Reset CULA MA 5 16 A MB 0 1 MUXA MUXB BUS A D 16 Banco de registos B Unidade lógica e aritmética 16 BUS B 16 4 Estado WM FM 4 5 FIN LF RE FR Registo de estado 000h 16 FOUT 11 5 W Endereço Memória Escrita de dados Leitura de dados MD MUXD CONST LI RI Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 9 / 50 Palavra de Controlo do P3 Sinal # bits Função SelAD 4 Controla os portos A e D do banco de registos SelB 4 Controla o porto B do banco de registos MA 1 Controlo do multiplexador A MB 1 Controlo do multiplexador B MD 2 Controlo do multiplexador D WR 1 Escrita no banco de registos WM 1 Escrita em memória LF 1 Carrega os bits de estado LI 1 Carrega o registo de instrução FM 4 Controla a actualização dos bits de estado CULA 5 Controla operação a executar na ULA CONST 12 Valor de constante numérica Quem gera estes sinais? Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 10 / 50

7 Palavra de Controlo do P3 Sinal # bits Função SelAD 4 Controla os portos A e D do banco de registos SelB 4 Controla o porto B do banco de registos MA 1 Controlo do multiplexador A MB 1 Controlo do multiplexador B MD 2 Controlo do multiplexador D WR 1 Escrita no banco de registos WM 1 Escrita em memória LF 1 Carrega os bits de estado LI 1 Carrega o registo de instrução FM 4 Controla a actualização dos bits de estado CULA 5 Controla operação a executar na ULA CONST 12 Valor de constante numérica Quem gera estes sinais? CIRCUITO DE CONTROLO Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 10 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 11 / 50

8 Próximo estado (NS) CLK Contador Ld/inc ROM Saídas (PO) Entradas 1... Multiplexador Teste complementado (CT) Variável a testar (TV) As micro-instruções que constituem o microprograma são guardadas na memória de, endereçada pelo registo CAR - Control Address Register Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 12 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 13 / 50

9 - controla a ordem pela qual são executadas as micro-instruções guardadas na memória de Micro-instruções - definem o valor dos sinais utilizados pelo: - circuito de dados - micro-sequenciador Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 14 / 50 Microinstrução do P3 Dois formatos para a micro-instrução: F=0 - Controla fundamentalmente o circuito de dados F=1 - Controla fundamentalmente o circuito de Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 15 / 50

10 Unidade de Processamento do P3 Circuito de dados modificado: Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 16 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 17 / 50

11 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 18 / 50 O registo CAR (Control Address Register) contém o endereço de memória de micro-instruções onde está a micro-instrução que está a ser executada Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 19 / 50

12 O micro-sequenciador gera o endereço da micro-instrução que será executada no ciclo de relógio seguinte Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 20 / 50 O micro-sequenciador gera o endereço da micro-instrução que será executada no ciclo de relógio seguinte: M5=00 - endereço seguinte (CAR+1) ou salto para um endereço definido por NA Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 20 / 50

13 O micro-sequenciador gera o endereço da micro-instrução que será executada no ciclo de relógio seguinte: M5=00 - endereço seguinte (CAR+1) ou salto para um endereço definido por NA M5=01 - endereço guardado em SBR, correspondendo ao retorno de uma micro-rotina Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 20 / 50 O micro-sequenciador gera o endereço da micro-instrução que será executada no ciclo de relógio seguinte: M5=00 - endereço seguinte (CAR+1) ou salto para um endereço definido por NA M5=01 - endereço guardado em SBR, correspondendo ao retorno de uma micro-rotina M5=10 - endereço especificado em END A, definido pela unidade de mapeamento Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 20 / 50

14 O micro-sequenciador gera o endereço da micro-instrução que será executada no ciclo de relógio seguinte: M5=00 - endereço seguinte (CAR+1) ou salto para um endereço definido por NA M5=01 - endereço guardado em SBR, correspondendo ao retorno de uma micro-rotina M5=10 - endereço especificado em END A, definido pela unidade de mapeamento M5=11 - endereço especificado em END B, definido pela unidade de mapeamento Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 20 / 50 O registo SBR guarda o endereço de micro-programa para onde deverá ser transferido o após terminar a execução de uma micro-rotina: Quando se pretende chamar uma micro-rotina, o sinal LS deve ser actuado e o registo CAR deve ser carregado com o valor de NA (endereço da micro-rotina) O retorno da micro-rotina é executado seleccionando M5=01 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 21 / 50

15 O registo SBR guarda o endereço de micro-programa para onde deverá ser transferido o após terminar a execução de uma micro-rotina Uma vez que existe só um registo para guardar o endereço de retorno, apenas é possível utilizar um nível de profundidade de micro-rotina Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 21 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 22 / 50

16 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 23 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 24 / 50

17 Funcionamento Sequencial (COND=0) MCOND=000; CC=1 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 24 / 50 Funcionamento Sequencial (COND=0) MCOND=000; CC=1 Salto Incondicional (COND=1) MCOND=000; CC=0 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 24 / 50

18 Funcionamento Sequencial (COND=0) MCOND=000; CC=1 Salto Incondicional (COND=1) MCOND=000; CC=0 Salto Condicional??? Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 24 / Opcode COND M IR1 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 25 / 50

19 Código da Condição de Salto Condição Mnemónica Código Zero Z 0000 Não-zero NZ 0001 Transporte C 0010 Não-transporte NC 0011 Negativo N 0100 Não-negativo NN 0101 Excesso O 0110 Não-excesso NO 0111 Positivo P 1000 Não-positivo NP 1001 Interrupção I 1010 Não-interrupção NI 1011 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 26 / 50 De onde vêm os endereços END A e END B??? Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 27 / 50

20 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 28 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 29 / 50

21 Unidade de - utilizada para gerar, de forma rápida, os endereços de algumas micro-rotinas frequentemente chamadas durante a execução de instruções: Micro-código correspondente a cada instrução assembly (Memória A) Micro-rotinas para leitura e escrita de operandos (Memória B) Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 30 / 50 Memória A: Endereçada directamente pelos 6 bits mais significativos da instrução (OPCODE) Implementa uma tabela que contém os endereços das micro-rotinas que executam as operações de transferência entre registos que realizam cada uma das instruções Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 31 / 50

22 Memória B: Gera o endereço das micro-rotinas de carregamento de operandos ou de escrita do resultado Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 32 / 50 Memória B: Os bits SR1, SR2 e S definem quatro tipos de micro-rotina: SR2 SR1 S Endereço seleccionado Microrrotina de leitura de um operando Microrrotina de escrita do resultado 1-0 Microrrotina de leitura de dois operandos para S = Microrrotina de leitura de dois operandos para S = 1 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 33 / 50

23 Memória B: Os bits SR1, SR2 e S definem quatro tipos de micro-rotina: SR2 SR1 S Endereço seleccionado Microrrotina de leitura de um operando Microrrotina de escrita do resultado 1-0 Microrrotina de leitura de dois operandos para S = Microrrotina de leitura de dois operandos para S = 1 Os bits do campo M definem o modo de endereçamento: Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 33 / 50 SR2 SR1 S Endereço seleccionado Microrrotina de leitura de um operando Microrrotina de escrita do resultado 1-0 Microrrotina de leitura de dois operandos para S = Microrrotina de leitura de dois operandos para S = 1 Na prática... apenas é necessário especificar SR1 e SR2!!! Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 34 / 50

24 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 35 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 36 / 50

25 RI 15 S M2 WBR IR IR IR IR IR1 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 37 / 50 Complicado???? Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 38 / 50

26 Complicado???? Na prática... M2 Valor seleccionado 0 Registo usado pelo primeiro ou único operando 1 Registo usado pelo segundo operando, quando exista Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 38 / 50 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 39 / 50

27 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 40 / 50 do P3 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 41 / 50

28 Fluxograma da Execução de uma Instrução Assembly Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 42 / 50 Banco Registo Descrição R0 Constante 0 R1 Registo de uso geral R2 Registo de uso geral R3 Registo de uso geral R4 Registo de uso geral R5 Registo de uso geral R6 Registo de uso geral R7 Registo de uso geral R8 Registo de uso restrito R9 Registo de uso restrito R10 Registo de uso restrito R11 Operando (SD) R12 Endereço de destino (EA) R13 Resultado (RD) R14 Apontador da pilha (SP) R15 Contador de programa (PC) Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 43 / 50

29 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 44 / 50 Exemplo 1 Exemplo: R5 R5 - SD, Flags Z,N M5 SR1 SR2 IAK FM CALU MA MB M2 MRB 1 LS MCOND CC LI LF CONST/NA RB WM WR MD MAD RAD X X X Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 45 / 50

30 Exemplo 2 Exemplo: RD M[EA] M5 SR1 SR2 IAK FM CALU MA MB M2 MRB 1 LS MCOND CC LI LF CONST/NA RB WM WR MD MAD RAD X X X X X X X 1 X X Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 46 / 50 Exemplo 3 Exemplo: SBR CAR+1, z?car 175h M5 SR1 SR2 IAK FM CALU MA MB M2 MRB 1 LS MCOND CC LI LF CONST/NA RB WM WR MD MAD RAD X X X X X X X X X X X X Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 47 / 50

31 Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 48 / 50 Microprogramação do Processador P3: Fluxo de Execução de uma Instrução Carregamento do Registo de Instrução Carregamento dos Operandos Execução da Instrução Escrita do Resultado Teste de Interrupções Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 49 / 50

32 Nota de Agradecimento Agradecimento Algumas páginas desta apresentação foram extraidas de: [1] José Carlos Monteiro, Arquitectura de Computadores, Instituto Superior Técnico (IST), Universidade Técnica de Lisboa, Portugal, Prof. Nuno Roma ACom 2015/16 (MEAer) - DEEC-IST 50 / 50

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: do P3 - Microprogramação Unidade de do P3; Unidade de do P3 (micro-sequenciador,

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Arquitetura de Um Processador III

Arquitetura de Um Processador III Arquitetura de Um Processador III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-15 José Costa (DEI/IST) Arquitetura

Leia mais

Arquitetura de Um Processador I

Arquitetura de Um Processador I Arquitetura de Um Processador I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-08 José Costa (DEI/IST) Arquitetura de

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Estrutura Itera de um Processador (Cap. 8 e 12.1) José Moteiro Liceciatura em Egeharia Iformática e de Computadores Departameto de Egeharia Iformática (DEI) Istituto Superior

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Exemplos de Microprogramação (12.3); Família Intel x86 José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: (ULA). 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Sistemas Digitais (SD)

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

Sistemas Digitais (SD) Unidade Lógica e Aritmética

Sistemas Digitais (SD) Unidade Lógica e Aritmética Sistemas Digitais (SD) Unidade Lógica e Aritmética Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Somadores / Subtractores Comparadores 2 Planeamento SEMANA TEÓRICA 1 TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Sistemas Digitais (SD) Unidade Lógica e Aritmética

Sistemas Digitais (SD) Unidade Lógica e Aritmética Sistemas Digitais (SD) Unidade Lógica e Aritmética Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Somadores / Subtractores Comparadores 2 Planeamento Teste 1 3 Sumário Tema da aula de

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de Entrada/Saída

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 16 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 3º Trabalho de Laboratório Introdução à Microprogramação e ao Processador P3 Objetivo: Pretende-se com este trabalho conferir aos alunos um conjunto de competências relacionadas

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 20: Título: Sumário: Sistema de primária (ciclo de acesso, memória estática, memória dinâmica, planos

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 3º Trabalho de Laboratório Introdução à Microprogramação e ao Processador P3 Objetivo: Pretende-se com este trabalho conferir aos alunos um conjunto de competências relacionadas

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta / Paulo Lopes Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 22: Título: Sumário: cache; cache por blocos; Política de substituição; Tratamento das operações de

Leia mais

Conjunto de Instruções (ISA) I

Conjunto de Instruções (ISA) I Conjunto de Instruções (ISA) I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-16 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Geração do Código Objecto (10.7 e 10.8) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior

Leia mais

Conjunto de Instruções (ISA) II

Conjunto de Instruções (ISA) II Conjunto de Instruções (ISA) II José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-18 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

INSTITUTO SUPERIOR TÉCNICO

INSTITUTO SUPERIOR TÉCNICO INSTITUTO SUPERIOR TÉCNICO Arquitectura de Computadores 1 o Semestre (2011/2012) MEAer Departamento de Engenharia Electrotécnica e de Computadores 2 o Teste - 13 de Janeiro de 2012 Duração: 1h30 + 0h30

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 24: Título: Sumário: - II ; memória virtual - caches. 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Arquitectura

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 08: Título: Sumário: Programação em (programação estruturada, comentários, constantes); Exemplos de

Leia mais

Arquitectura de Computadores MEEC (2014/15 2º Sem.)

Arquitectura de Computadores MEEC (2014/15 2º Sem.) Arquitectura de Computadores MEEC (2014/15 2º Sem.) Unidade de Controlo Prof. Nuno Horta PLANEAMENTO Introdução Unidade de Processamento Unidade de Controlo Arquitectura do Conjunto de Instruções Unidade

Leia mais

Símbolos e abreviaturas utilizadas na descrição das instruções

Símbolos e abreviaturas utilizadas na descrição das instruções Símbolos e abreviaturas utilizadas na descrição das instruções acumulador registo A addr endereço de 16 bits data quantidade de 8 bits data 16 quantidade de 16 bits byte 2 segundo byte da instrução byte

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 1 de Fevereiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 17 de Janeiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Memória paginada; Tabela de páginas; Tabela de páginas hierárquica. 2014/2015

Leia mais

ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005

ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005 ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005 POR AVOR: não escreva ou danifique este anexo devolva-o no final do exame Registos O processador P3 contém os seguintes

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 28 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 5.0 - Português Aula N o 27: Título: Sumário: Estrutura interna de um PC Estrutura interna de um PC; Sistema de entradas e saídas

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 5.0 - Português Aula N o 23: Título: Sumário: - II ; memória virtual - caches. 2015/2016 Nuno.Roma@tecnico.ulisboa.pt Arquitectura

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 25 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 26 de julho de 2013 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 30 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 27 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES 3º Trabalho de Laboratório Unidade de Controlo Microprogramada Objectivo: Pretende-se que os alunos compreendam a metodologia usada na implementação, programação e teste de

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010

Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

CONJUNTO DE INSTRUÇÕES

CONJUNTO DE INSTRUÇÕES CONJUNTO DE INSTRUÇÕES 1 CARACTERÍSTICAS DE INSTRUÇÕES DE MÁQUINA Quando um programador usa uma linguagem de alto-nível, como C, muito pouco da arquitetura da máquina é visível. O usuário que deseja programar

Leia mais

Introdução à arquitetura do hardware

Introdução à arquitetura do hardware Introdução à arquitetura do hardware Descodificação de endereços Núcleo do processador Microprogramação Arquitetura de Computadores Introdução à arquitetura do hardware 1 Espaço de endereçamento (com 16

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Fundamentos (9, 10.1 a 10.3) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

FCA - Editora de Informática xv

FCA - Editora de Informática xv Índice Geral Agradecimentos ix Prefácio xi Índice das Simulações xxv 1 - Introdução ao mundo dos computadores 1 1.1 O computador como ferramenta... 2 1.2 A importância dos computadores... 4 1.3 Processamento

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Tutorial do P3 Referência 2014 / 2015 INSTITUTO SUPERIOR TÉCNICO Paulo Lopes, José Costa 2014/2015 2 1.

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES Prof. Juliana Santiago Teixeira julianasteixeira@hotmail.com INTRODUÇÃO INTRODUÇÃO O processador é o componente vital do sistema de computação, responsável

Leia mais

ARQUITECTURA DE COMPUTADORES 2º TESTE A

ARQUITECTURA DE COMPUTADORES 2º TESTE A ARQUITECTURA DE COMPUTADORES 2º TESTE A Ano Lectivo: 2006/2007 Data: 2 de Maio de 2007 INFORMAÇÕES GERAIS Duração: 2h00 1. Identifique todas as folhas do enunciado com nome e nº. 2. Mantenha na secretária

Leia mais

Grupo I (5 valores) CD AB

Grupo I (5 valores) CD AB Grupo I (5 valores) Ministério da Ciência, Tecnologia e Ensino Superior 1. [2] Considere o seguinte mapa de Karnaugh da função F(A,B,C,D). Simplifique a função de modo a obter uma soma de produtos, e um

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Tópicos Avançados de Arquitectura de Computadores (15) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

18/10/2010. Unidade de Controle Controle. UC Microprogramada

18/10/2010. Unidade de Controle Controle. UC Microprogramada Arquitetura de Computadores Unidade de Controle Controle Microprogramado Prof. Marcos Ribeiro Quinet de Andrade Universidade Federal Fluminense - UFF Pólo Universitário de Rio das Ostras - PURO UC Microprogramada

Leia mais

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

Aula 14 Funcionamento de Processadores (Visão específica)

Aula 14 Funcionamento de Processadores (Visão específica) Aula 14 Funcionamento de Processadores (Visão específica) Com base nas aulas de Prof. José Delgado (autorizado) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm

Leia mais

MICROPROCESSADORES 2º TESTE - A

MICROPROCESSADORES 2º TESTE - A MICROPROCESSADORES 2º TESTE - A Ano Lectivo: 2005/2006 Data: 8 de Maio de 2006 Ano Curricular: 1º Ano 2º Semestre Duração: 2h00 INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e

Leia mais

UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO. Adão de Melo Neto

UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO. Adão de Melo Neto UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO Adão de Melo Neto 1 INTRODUÇÃO O objetivo é mostrar como a unidade de controle controla a execução de cada instrução em ASSEMBLY. Atividades da Unidade de

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

EEC2104 Microprocessadores

EEC2104 Microprocessadores EEC2104 Microprocessadores Edição 2005/2006 Arquitectura de um microprocessador básico (Qual o hardware necessário para executar instruções e poder chamar subrotinas?) Uso da memória Guardar instruções

Leia mais

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES Ministério da Ciência, Tecnologia e Ensino Superior p-fólio U.C. 21010 Arquitectura de Computadores 26 de julho de 2018 INSTRUÇÕES O tempo de resolução do p-fólio é de uma hora e trinta minutos (90 minutos)..

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Organização de Unidades de Processamento

Organização de Unidades de Processamento Organização de Unidades de Processamento João Canas Ferreira Março de 2004 Contém figuras de: Computer Organization & Design, D. A Patterson e J. L. Hennessy, 2 a ed. (cap. 5) c JCF, 2004 ASPD (FEUP/LEEC)

Leia mais

Guia de Laboratório 2013 / 2014

Guia de Laboratório 2013 / 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Guia de Laboratório 2013 / 201 INSTITUTO SUPERIOR TÉCNICO 2 Plano das aulas 1ª Aula: Resolução de Exercícios

Leia mais

2.1 Circuitos electrónicos analógicos Circuitos electrónicos digitais...29

2.1 Circuitos electrónicos analógicos Circuitos electrónicos digitais...29 Índice Geral Agradecimentos... vii Prefácio... ix Índice Geral... xiii Índice das Simulações... xxiii Índice das Figuras... xxvii Índice das Tabelas... xli Índice dos Programas... li 1 - Introdução ao

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Microprograma Aula Anterior Na aula anterior: Projecto de máquinas de estados microprogramadas: Circuito de dados Circuito de controlo Implementação

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Estrutura e Função do Processador Material adaptado, atualizado e traduzido de: STALLINGS, William. Arquitetura e Organização de Computadores. 5ª edição Organização

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

Máquina Microprogramada

Máquina Microprogramada Máquina Microprogramada µff Orlando Loques setembro 26 Referências: Structured Computer Organization, A.S. Tanenbaum, (c) 26 Pearson Education Inc Computer Organization and Architecture, W. Stallings,

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

Parte Operativa x Controle Aula 12. Prof. Jadir Eduardo Souza Lucas Universidade Federal do Espírito Santo Departamento de Informática

Parte Operativa x Controle Aula 12. Prof. Jadir Eduardo Souza Lucas Universidade Federal do Espírito Santo Departamento de Informática x Controle Aula 12 Microarquitetura Parte Operativa (ou Caminho de Dados) Constituída de todos os componentes responsáveis pela execução das operações elementares sobre os dados (transformações nos dados)

Leia mais

Organização de Computadores Aula 05

Organização de Computadores Aula 05 Organização de Computadores Aula 05 Componente Computador Unidade Central de Processamento (CPU) Memória Unidades de E/S Barramentos Modelo de Von Neumann Apresentado em 1945 Conceito de programa armazenado

Leia mais

Arquitectura de Computadores 2007/2008 2º Semestre Repescagem 2º Teste - 03/07/2008

Arquitectura de Computadores 2007/2008 2º Semestre Repescagem 2º Teste - 03/07/2008 Arquitectura de Computadores 2007/2008 2º Semestre Repescagem 2º Teste - 03/07/2008 INSTRUÇÕES: - A duração da prova é de 1,5 horas. - Responda apenas nos locais indicados. - Identifique todas as folhas

Leia mais

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle William Stallings Organização de computadores digitais Capítulo 14 Operação da Unidade de Controle Microoperações o A execução de um programa em um computador se faz através: o do ciclo de Busca e Execução.

Leia mais

Arquitectura de Computadores 3º TESTE

Arquitectura de Computadores 3º TESTE Arquitectura de Computadores 3º TESTE Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2008 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta (azul ou preta).

Leia mais

ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3

ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3 ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3 POR FAVOR: não escreva ou danifique este anexo devolva-o no final do exame Registos O processador P3 contém os seguintes

Leia mais

Unidade Central de Processamento 2. Registradores

Unidade Central de Processamento 2. Registradores Unidade Central de Processamento 2 Registradores Conceitos Fundamentais Arquitetura da CPU Unidade de Controle Registradores Barramento interno Unidade lógica e Aritmética Registradores Conjunto de unidades

Leia mais

UCP 8051 (parte 02) Professor Adão de Melo Neto

UCP 8051 (parte 02) Professor Adão de Melo Neto UCP 85 (parte 2) Professor Adão de Melo Neto Barramento de endereços UCP 85 É unidirecional (6 BITS), porque a informação flui apenas em uma direção, da CPU para a memória ou para os elementos de E/S.

Leia mais

Arquitetura de Computadores. Ciclo de Busca e Execução

Arquitetura de Computadores. Ciclo de Busca e Execução Arquitetura de Computadores Ciclo de Busca e Execução Ciclo de Busca e Execução Início Buscar a próxima instrução Interpretar a instrução Executar a instrução Término Funções realizadas pela UCP Funções

Leia mais

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Microprocessadores I ELE 1078 Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Grupos de Instruções do 8085 As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

Introdução à Computação: Arquitetura von Neumann

Introdução à Computação: Arquitetura von Neumann Introdução à Computação: Arquitetura von Neumann Beatriz F. M. Souza (bfmartins@inf.ufes.br) http://inf.ufes.br/~bfmartins/ Computer Science Department Federal University of Espírito Santo (Ufes), Vitória,

Leia mais

Unidade de Controle. UC - Introdução

Unidade de Controle. UC - Introdução Unidade de Controle Prof. Alexandre Beletti (Cap. 3 Weber, Cap.8 Monteiro, Cap. 10,11 Stallings) UC - Introdução Para gerenciar o fluxo interno de dados e o instante em que ocorrem as transferências entre

Leia mais

Processador. Processador

Processador. Processador Departamento de Ciência da Computação - UFF Processador Processador Prof. Prof.Marcos MarcosGuerine Guerine mguerine@ic.uff.br mguerine@ic.uff.br 1 Processador Organização básica de um computador: 2 Processador

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais

Capítulo 4 Nível da microarquitetura

Capítulo 4 Nível da microarquitetura Capítulo 4 Nível da microarquitetura Nível acima da lógica digital Função: Implementar a ISA (Instruction Set Architecture) O projeto da microarquitetura depende diretamente da ISA, além dos objetivos

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 2 Estrutura de um processador Prof. Leonardo Augusto Casillo Arquitetura de Von Neumann: Conceito de programa armazenado; Dados

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

A utilização de elementos de memória como as ROM s permitem realizar a implementção de circuitos combinatórios: ROM Dados = OUT S

A utilização de elementos de memória como as ROM s permitem realizar a implementção de circuitos combinatórios: ROM Dados = OUT S Sequenciadores A utilização de elementos de memória como as ROM s permitem realizar a implementção de circuitos combinatórios: Endereços = IN S ROM Dados = OUT S Se num circuito sequêncial as saídas são

Leia mais