Prof V Vargas, IST Contadores 26/11/13, Pg 1/18

Tamanho: px
Começar a partir da página:

Download "Prof V Vargas, IST Contadores 26/11/13, Pg 1/18"

Transcrição

1 Prof V Vargas, IST Contadores 26/11/13, Pg 1/18

2 Prof V Vargas, IST Contadores 26/11/13, Pg 2/18 Contadores / 18aº Projecto (solução assíncrona) Recorde-se o itinerário a propósito de circuitos combinatórios: num primeiro passo, fez-se o elenco dos tijolos básicos (ORs, ANDs, ORs, etc.), após o que se abordou a metodologia geral concernindo a sua síntese (Tabelas de Verdade e Mapas de Karnaugh, etc.), num segundo passo, abordaram-se blocos combinatórios general purpose (multiplexers, decoders) após o que se discutiu a sua aplicação na síntese de circuitos combinatórios... A propósito de circuitos sequenciais, procede-se de um modo análogo: num primeiro passo, fez-se o elenco dos seus tijolos básicos específicos (Latches, Flip-flops), após o que se reviu a metodologia geral concernindo a sua síntese (Diagramas de Estado, Modelos de Moore e Mealy, etc.); ir-se-ão agora abordar blocos sequenciais general purpose (contadores, registos, RAM) após o que se discutirá a sua aplicação à síntese de circuitos sequenciais Esta sessão versa, pois, Contadores. Tal como as demais sessões, ir-se-á partir de um Projecto concreto Para produzir um boletim agrafado, um centro de impressão dispõe de uma agrafadora automática: é activada por cada série de 8 folhas à saída da impressora Ao lado, encontra-se um Diagrama Temporal ilustrativo do que se pretende: pressuposto que a impressora assinala o termo da impressão de uma folha com um impulso de curta duração, o circuito pretendido deverá, por cada conjunto de 8 desses impulsos, sinalizar 1 na sua saída Considerando o output da impressora - uma sucessão de impulsos de curta duração -, será tentador usá-los como sinais de relógio do circuito a construir: o circuito será um sistema autónomo no sentido de que não dispõe de quaisquer entradas externas Em si, não é nada de novo: o 15bº Projecto abordou precisamente dois contadores ou Divisores de Frequência (por 2 e por 4) Revendo-os, bastará extrapolar para um Divisor por 8 Vidé ao lado o Diagrama Temporal do Divisor de Frequência por 2 e o respectivo Diagrama de Estados: Inicialmente, a saída do circuito é 0 ; quando ocorre o flanco descendente do relógio (abreviadamente: clock), a saída advém 1 ; no clock seguinte, a saída volta a ser 0 e este ciclo vai-se repetindo indefinidamente (E constata-se que, tendo o circuito recebido 6 impulsos de relógio, a saída exibe apenas 3 impulsos ) Esse comportamento sugere que o circuito terá apenas dois estados, sejam S 0 e S 1 : 1. Considere-se o momento do power-on O circuito ingressa no estado inicial S 0, em que a saída é 0 ; 2. Aquando do clock, ingressa no estado S 1, em que a saída é 1 ; 2. E, no clock seguinte, regressa ao estado inicial, S 0 De posse do Diagrama de Estados do circuito, e em ordem a chegar ao correspondente logigrama, bastará usar a massa cinzenta: é que, bem vistas as coisas, trata-se de um circuito que está continuamente no modo Toggle - o que sugere vivamente usar o flip-flop T em que se forçou a entrada T a ser continuamente 1, vidé ao lado. E quanto ao logigrama de um Divisor de Frequência por 4? Intui-se que será suficiente uma cascata de dois flip-flops T, designem-se eles de Q 0 e Q 1, em que se forçou 1 nas entradas T : Q 0 recebe a sequência de impulsos original, a sua saída vindo a ser o que se interliga à entrada clock de Q 1, vidé ao lado: Q 0 gera um impulso somente após ter recebido dois impulsos clock, e Q 1 produz um impulso apenas depois de Q 0 ter gerado dois impulsos o que significa que, globalmente, Q 1 irá gerar um impulso por cada quatro impulsos originais E quanto ao logigrama de um Divisor de Frequência por 8? Por um raciocínio similar, bastará uma cascata de três flip-flops T, designem-se eles de {Q 2, Q 1, Q 0 }, em que se forçou 1 nas entradas T : globalmente, Q 2 irá gerar um impulso por cada oito impulsos originais Manda a curiosidade determinar qual o conteúdo dos três flip-flops à medida que se vão sucedendo os impulsos originais e constata-se que o conjunto {Q 2, Q 1, Q 0 } (em que Q 2 e Q 0 são, respectivamente, os bits de maior e menor peso) vai assumindo ciclicamente as representações em binário dos (8) números {0, 1, 2, 3, 4, 5, 6, 7}. Por tal motivo, ele designa-se contador cíclico ascendente de módulo 8. Trata-se, entretanto, de um contador assíncrono: os flip-flops não reagem em simultâneo; realce-se entretanto que a ordem com que o fazem é previsível a priori: Q 2 reage só depois de Q 1 o ter feito, e Q 1 reage só depois de Q 0 o ter feito; isso acarreta aquilo que se denomina transientes. Veja-se, em particular, o que sucede quando o contador marca 011 e ocorre um novo clock: Q 0 é o primeiro a reagir (com o que o circuito fica marcando 010 ) e somente depois é que Q 1 reage (ficando então o contador a marcar 000 ) - e somente depois é que Q 2 reage (ficando enfim o contador a marcar 100 )! Se se quisera um contador síncrono, haveria que usar as costumeiras etapas para, do Diagrama de Estados, se chegar ao logigrama ou, melhor ainda, usar a massa cinzenta. É o que se verá já a seguir

3 Prof V Vargas, IST Contadores 26/11/13, Pg 3/18

4 Prof V Vargas, IST Contadores 26/11/13, Pg 4/18 Contadores / 18bº Projecto (solução síncrona) Após os contadores assíncronos, é hora de abordar contadores síncronos: todos os flip-flops são alimentados pelo mesmo clock. Ir-se-á partir dum Projecto concreto que, não por acaso, tem o enunciado do 18aº Projecto Recorda-se ao lado o Diagrama Temporal do comportamento que se pretende Será pacífico que o circuito vai passando ciclicamente por 8 estados distintos, designem-se eles de {S 0, S 1, S 2, S 3, S 4, S 5, S 6, S 7 }. Ao lado, encontra-se o Diagrama de Estados seguindo o modelo de Moore: 1. Considere-se o momento do power-on Será pacífico iniciar o circuito a S 0 ; 2. Aquando do primeiro clock, o circuito transitará para S 1 ; e, com sucessivos clocks, irá percorrendo os restantes estados {S 2, S 3, S 4, S 5, S 6, S 7 } até regressar ao estado inicial. Desenhado o Diagrama de (8) Estados, o método clássico remete para 3 flip-flops, sejam eles {Q 2, Q 1, Q 0 }; há que codificar os estados; por forma a que as saídas dos flip-flops se volvam nos códigos binários dos números {0, 1, 2, 6, 7}, dever-se-á usar o CBN: as saídas serão 000 em S 0, serão 001 em S 1, e assim por diante A etapa seguinte é preencher a Tabela de Transições/Mapa de Karnaugh, vidé ao lado, à esquerda: o nome de cada estado é substituído pelos bits que o codificam: o circuito transita de 000 para 001, de 001 para 010, etc Admitindo que se usam flip-flops T, o passo seguinte será obter as Tabelas com os valores a impor nas entradas {T 2, T 1, T 0 } para lograr as transições de estado em causa após o que se deduzem as expressões simplificadas das entradas {T 2, T 1, T 0 } dos flip-flops e se desenha o correspondente logigrama. (Repare-se que no logigrama não está presente qualquer saída Z : num contador, as saídas são os próprios estados dos flip-flops - mas, se se quisera um Divisor de Frequência por 8, isto é, um circuito que produzisse um flanco descendente após 8 flancos descendentes do relógio, a saída seria, muito simplesmente, Z=Q 2 ) O contador obtido é ascendente (Up): vai incrementando, de 0 até 7 mas poder-se-ia antes requerer um contador descendente (Down): quem não ouviu já a contagem final para um foguetão descolar:, 3, 2, 1, 0? Para o efeito, poder-se-ão seguir os passos acima (que aliás se sumarizam ao lado) mas a lei do menor esforço insta a usar melhor a massa cinzenta, que com menos suor se poderá chegar ao correspondente logigrama (e um bom teste ao leitor será proceder depois de um modo semelhante para lograr o desenho do contador ascendente). Ao lado, ao centro, encontra-se a tabela de codificação de estados para o contador ascendente: lista os sucessivos valores que os flip-flops vão experimentando e o desafio é: como, olhando simplesmente para ela, e reflectindo, deduzir de imediato as expressões das entradas dos flip-flops dum contador descendente? Obviamente, há que ler a tabela de baixo para cima: o contador marca 111, depois 110, etc.. e a pergunta a responder é: quando é que um flip-flop especifico Q i muda de estado - e portanto quando se deve forçar T i =1? 1. Considere-se a evolução de Q 0 : por cada impulso de relógio que recebe, ele oscila de 0 para 1 (ou viceversa) - o que sugere vivamente forçar a entrada T 0 a ser permanentemente 1, vidé ao lado; 2. Considere-se a evolução de Q 1 : aquando dum impulso de relógio, Q 1 oscilará de 0 para 1 (ou viceversa) se e só se o circuito estiver nos estados 110, 100, 010 ou 000 ; a estes estados é comum Q 0 =0 (em contrapartida não havendo qualquer mudança quando Q 0 =1); por outras palavras: T 1 deve ser 1 apenas naqueles quatro estados listados (isto é: quando Q 0 =0) o que se resolve forçando na entrada T o complemento de Q 0 ; 3. Considere-se enfim a evolução de Q 2 : aquando de um impulso de relógio, Q 2 oscilará de 0 para 1 (ou vice-versa) se e só se o circuito estiver nos estados 100 ou 000 ; a estes estados é comum Q 1 =Q 0 =0 (em contrapartida não havendo qualquer mudança quando tal não suceder); por outras palavras, T 2 deve ser 1 apenas quando Q 1 =Q 0 =0) o que se resolve forçando na entrada T o produto dos complementos de Q 1 e Q 0. Os contadores obtidos são síncronos: os flip-flops reagem em simultâneo - as aspas significando que, todavia, ainda há azo à ocorrência de transientes. Veja-se, em particular, o caso de ocorrer um clock quando o contador ascendente marca 011 ; ele deverá passar a marcar 100 ; porém, em virtude de os tempos de propagação não serem efectivamente iguais, virão a suceder-se alguns estados transitórios, cuja sequência é imprevisível a priori: (se Q 0 for o primeiro a reagir e Q 2 for o último a reagir); (se Q 2 for o primeiro a reagir e Q 0 for o último a reagir); - deixa-se ao leitor imaginar outros transitórios A duração de cada estado transitório não excede a diferença entre os tempos de propagação dos flip-flops.

5 Prof V Vargas, IST Contadores 26/11/13, Pg 5/18

6 Prof V Vargas, IST Contadores 26/11/13, Pg 6/18 Contadores / Contador com Enable Considere-se de novo o 18aº Projecto acrescentando-lhe porém um requerimento extra: além de proporcionar a impressão de boletins de 8 folhas agrafadas, pretende-se também facultar aos clientes a impressão de uma folha avulsa, sem a agrafar Como alterar o circuito desenhado, por forma a satisfazer esse requerimento? Por outras palavras: pressuposto que a impressora continua a assinalar o termo da impressão de uma folha com um impulso, como alterar o contador de folhas desenhado para que, quando for o caso da impressão de uma folha individual sem agrafos, ele se mantenha inerte (em 000 )? Considerando que o que faz mexer o contador são os impulsos na sua entrada clock, uma resposta imediata será: interpor, entre a saída da impressora e essa entrada, um AND a activar por um botão Enable: somente quando ele activar o AND é que os impulsos provenientes da impressora alcançam os flip-flops do contador Mas esta resposta não é pacífica: ela conduz a atrasar no tempo a mudança das saídas do contador o que, no contexto de uma solução síncrona, é de todo desaconselhável: imaginando sistemas algo mais complexos, em que os flip-flops em que se concretizam os contadores coexistem com outros flip-flops, há que garantir que, aquando de um clock, todos eles reajam em simultâneo! O desafio é, portanto: como proceder ao enabe/disable do contador sem interferir nos fios que transportam os impulsos de relógio? A única resposta possível é, obviamente, agir sobre a entrada T dos flip-flops aproveitando o facto de que, quando se lhe aplica o valor 0, um flip-flop T permanece no mesmo estado! Veja-se o caso da versão assíncrona: num contador enabled (isto é, quando se premir o botão Enable, Enable=1), os flip-flops deverão estar todos com as entradas T=1 mas, se ele advir disabled (o que se traduz em Enable=0), elas deverão ficar a 0 ; isto sugere de imediato ligar directamente o botão Enable às entradas T dos flip-flops, vidé ao lado. Mas atente-se agora à versão síncrona. É claro que, no que respeita ao flip-flop Q 0, e seguindo a mesma ordem de ideias, ele deverá ter a sua entrada T 0 directamente ligada ao botão Enable Já quanto às entradas dos restantes flip-flops, a solução terá que ser outra mas será fácil descortiná-la: Recordando o intermezzo sobre Enabling/Disabling, bastará interpor, entre a lógica do estado seguinte e cada entrada T, um AND activado pelo tal botão Enable: - quando este advir inactivo (isto é: a 0 ), as entradas T ficam a 0 - e os flip-flops não mudarão de estado; - mas se ele advir activo (isto é, a 1 ), as entradas T ficam com os valores que lhes devem ser aplicados para que os flip-flops evoluam como deve ser. (Abra-se um parêntesis: em si, o logigrama final viola a geografia habitual de dispor à esquerda os flip-flops: o que está à esquerda é, isso sim, um par de ANDs Em rigor, esse par de ANDs faz parte da lógica do estado seguinte mas a sua implantação à esquerda quiçá torne visualmente mais explícita a sua razão de existir: concretizar o enable/disable do contador)

7 Prof V Vargas, IST Contadores 26/11/13, Pg 7/18

8 Prof V Vargas, IST Contadores 26/11/13, Pg 8/18 Contadores / Inicialização de um Contador Há que inquirir: quando se faz o power-on ao contador, qual o estado em que ele ingressa? A resposta é: não se sabe! No Projecto entre mãos, isso tem importância: se, ao fazer-se o power-on, o contador ingressar num estado que não seja S 0, o primeiro boletim terá, agrafadas, menos que 8 folhas (e os restantes boletins estarão mal compostos) Pelo que há que obrigar a que o estado inicial do circuito seja mesmo S 0. Uma primeira solução, assíncrona, é recorrer a entradas assíncronas (Clear). Pressuposto que os flip-flops disponibilizam entradas assíncronas Clear, bastará interligar directamente o botão de inicialização do contador, Init, a essas entradas e isso qualquer que seja a versão (síncrona ou assíncrona) do contador, vidé ao lado. Uma segunda solução, síncrona, é recorrer às entradas T dos flip-flops aplicando-lhes os valores pertinentes para que, quaisquer que sejam os seus estados no power-on, ingressem no estado 0. Pode entrever-se esta solução como aplicação particular de uma operação mais geral dita de carregamento em paralelo e que consiste em, por activação de uma entrada denominada Load, forçar o contador a um valor específico, seja {D 2 D 1 D 0 }. É a ela que serão então devotadas as próximas linhas: Uma primeira interrogação é esta: se se quiser que um flip-flop T fique memorizando um valor genérico D, que é que se deve aplicar na sua entrada T? A resposta é dada pela respectiva Tabela de Excitação, vidé ao lado: deverá aplicar-se-lhe o XOR entre o estado Q do flip-flop e esse valor D. Aceite este considerando e, por mor de simplificação, cingindo por agora a análise ao flip-flop Q 0, o que no fim de contas se tem em vista é o seguinte: - que, quando Load=0, o contador se comporte como tal, isto é, incremente (em módulo 8) no próximo impulso de clock o que se volve em impor T 0 =1; - que, quando Load=1, o contador carregue um valor {D 2 D 1 D 0 } em paralelo, isto é, que, no próximo impulso de clock, o flip-flop Q 0 tome o valor D 0 o que se volve em impor T 0 =Q 0 XOR D 0. Por outras palavras: conforme o valor de Load, assim há que aplicar em T 0 um de dois valores diferentes. Isso aponta para, à sua entrada, se interpor um multiplexer, cuja entrada de selecção seja aquele valor Load, e cujas entradas de dados sejam os valores que T 0 poderá assumir, vidé ao lado. O que se afirma acerca da entrada T 0 pode generalizar-se às demais entradas T : a cada uma será aplicada a saída de um multiplexers, com a mesma entrada de selecção, Load, e cujas entradas de dados serão os valores que essa entrada T específica poderá assumir. E equipando esse multiplexer com uma entrada Enable, poder-se-ão providenciar três modos de funcionamento: 1. se Enable=0 (isto é: se a entrada Enable estiver inactiva), as saídas dos multiplexers serão 0 pelo que as entradas dos flip-flops ficarão sendo T=0: no próximo clock, não mudarão de estado; 2.- se Enable=1 (isto é: se a entrada Enable estiver activa), as entradas dos flip-flops ficarão sendo as saídas dos multiplexers oferecendo-se então duas alternativas: 2.1 se Load=0, a saída do multiplexer será o valor na sua entrada de dados numerada 0 pelo que o contador se irá comportar como tal: incrementará no próximo impulso de clock; 2.2 se Load=1, a saída do multiplexer será o valor na sua entrada de dados numerada 1 pelo que o contador irá, no próximo impulso de clock, carregar o valor {D 2 D 1 D 0 }. (O logigrama final volta a violar a geografia habitual de dispor à esquerda os flip-flops: o que se encontra à esquerda são, isso sim, multiplexers e XORs Em rigor, eles fazem parte da lógica do estado seguinte mas a sua implantação à esquerda quiçá torne visualmente mais explícita a sua razão de existir: concretizar os três modos de funcionamento do contador) Se se dispor de um contador assim, então a sua inicialização a S 0 volve-se em fazer o carregamento em paralelo de {D 2 =0, D 1 =0, D 0 =0}

9 Prof V Vargas, IST Contadores 26/11/13, Pg 9/18

10 Prof V Vargas, IST Contadores 26/11/13, Pg 10/18 Contadores / Contadores modulo M=2 n Os contadores sugeridos como solução para o Projecto entre mãos são de módulo 8 mas certamente que não será um trabalho de Hércules generalizá-los a um módulo que seja uma potência-de-2, M=2 n (isto é, passando ciclicamente pelos valores {0, 1, 2,, M-1} e regressando depois a 0, etc. Considere-se, nomeadamente, um contador de módulo 2 4 =16. Ao lado, ao centro, encontra-se a tabela de codificação de estados para o contador ascendente: lista os sucessivos valores que os flip-flops vão experimentando e, olhando simplesmente para ela, e reflectindo, não custa deduzir de imediato as expressões das entradas dos flip-flops: Considere-se primeiramente a versão assíncrona: 1. Veja-se a evolução de Q 0 : por cada clock que recebe, ele oscila de 0 para 1 (ou vice-versa) - o que sugere vivamente forçar a entrada T 0 a ser permanentemente 1, vidé ao lado; 2. Quanto a Q 1 : Q 1 oscilará de 0 para 1 (ou vice-versa) se e só se Q 0 passar de 1 a 0 o mesmo é dizer, quando houver um flanco descendente na saída Q 0 ; isso sugere interligar Q 0 à entrada clock do flip-flop Q 1 e forçando 1 na entrada T 1 ; 3. Quanto a Q 2 : Q 2 oscilará de 0 para 1 (ou vice-versa) se e só se Q 1 passar de 1 a 0 isto é, aquando de um flanco descendente na saída Q 1 ; isso sugere interligar Q 1 à entrada clock do flip-flop Q 2 e forçar T 2 =1; 4. Quanto a Q 3 : Q 3 oscilará de 0 para 1 (ou vice-versa) se e só se Q 2 passar de 1 a 0 isto é, aquando de um flanco descendente na saída Q 2 ; isso sugere interligar Q 2 à entrada clock do flip-flop Q 3 e forçar T 3 =1. Considere-se agora a versão síncrona: 1. Considere-se a evolução de Q 0 : por cada clock que recebe, ele oscila de 0 para 1 (ou vice-versa) - o que sugere vivamente forçar a entrada T 0 a ser permanentemente 1, vidé ao lado; 2. Quanto a Q 1 : aquando dum clock, Q 1 oscilará de 0 para 1 (ou vice-versa) se e só nesse momento Q 0 =1 (em contrapartida não havendo qualquer mudança quando Q 0 =0); isso sugere forçar T 1 =Q 0 ; 3. Quanto a Q 2 : aquando de um clock, Q 2 oscilará de 0 para 1 (ou vice-versa) se e só se nesse momento Q 1 =Q 0 =1 (em contrapartida não havendo qualquer mudança quando tal não suceder); isso sugere aplicar em T 2 o produto de Q 1 e Q 0 ; 4. Quanto a Q 3 : aquando de um clock, Q 3 oscilará de 0 para 1 (ou vice-versa) se e só se nesse momento Q 2 =Q 1 =Q 0 =1 (em contrapartida não havendo qualquer mudança quando tal não suceder); isso sugere aplicar em T 3 o produto de Q 2, Q 1 e Q 0. Deixa-se ao leitor deduzir as expressões algébricas das entradas T para um contador síncrono descendente Com isso, ficam reunidas as condições para construir um contador general purpose módulo 16 contemplando as opções que se foram abordando ao longo desta sessão: capaz de incrementar (Up) ou decrementar (Down), ou de carregar em paralelo (Load), e provido de entradas assíncronas (Clear) e de Enable: - pressuposto que os flip-flops disponibilizam entradas assíncronas Clear, ter-se-á que lhes interligar directamente uma entrada Clear (activa a High); - e, com 4 multiplexers à esquerda e 4 XORs, poder-se-ão providenciar três modos de funcionamento: - com uma entrada Enable (activa a High), ficará garantido que, se ela estiver inactiva, as saídas dos multiplexers ficarão inactivas, com o que o contador não mudará de estado; - caso contrário, e de acordo com uma entrada Load (activa a High), - se ela estiver activa, o contador procederá ao carregamento em paralelo dos valores {D 3 D 2 D 1 D 0 }; - caso contrário, contará (incrementando ou decrementado). Para o efeito, a lógica do estado seguinte terá que concretizar ambas as expressões das entradas dos flip-flops (para um contador Up e para um contador Down) cabendo ao plano de multiplexers à direita, cuja entrada de selecção é uma entrada Up (activa a Low), seleccionar as que virão a ser aplicadas nas entradas {T 3, T 2, T 1 e T 0 }. Notas: por mor de simplicidade, os contadores abordados foram desenhados usando flip-flops T; mas isso não é obrigatório: deixa-se ao leitor o seu desenho com outros flip-flops E por mor de clareza, os feedbacks entre as saídas dos flip-flops e as entradas T foram concretizados com ANDs, MUXes e XORs - com óbvias repercussões na frequência máxima do clock: deixa-se ao leitor o seu redesenho no sentido de aumentar essa frequência

11 Prof V Vargas, IST Contadores 26/11/13, Pg 11/18

12 Prof V Vargas, IST Contadores 26/11/13, Pg 12/18 Contadores / Contadores: símbolos IEC O circuito a que se chegou requere bastantes tijolos básicos (como seja NANDs) - mas há uma boa notícia para o leitor: o mercado disponibiliza integrados funcionando assim, como seja a série SN74161/163. Isso faz apelo a conhecer a simbologia IEC que lhe diz respeito Ao lado, exemplifica-se a aplicação da Standard à interpretação dos esquemas de dois contadores. Todos têm o título CTR 4; mas são admissíveis outros títulos, como sejam CTR DIV 16 e CTR DIV 10 (que assinalam contadores de módulos respectivamente 16 e 10). Globalmente, os contadores têm uma entrada clock comum a 4 flip-flops, uma de reset assíncrono, duas enable ( G3 e G4 }, vários Modos de funcionamento {M 1, M 5, M 6 e M 7 } e uma saída CT ; mais detalhadamente: Os contadores disponibilizam uma entrada clock cujo símbolo explicita que eles mudam no flanco ascendente dos impulsos nela recebidos. Estão-lhe associados dois rótulos, que, como se verá adiante, detalham a que dizem eles respeito (Para bom entendedor: trata-se mesmo de uma só entrada: poder-se-ia, em alternativa, agregar os rótulos 1,3,4+ e C2 num só rótulo ( 1,3,4+/C2 ), ou desdobrar o rótulo 1,3,4,7-/C2 em dois ( 1,3,4,7- e C2 )) Ambos os contadores oferecem também uma entrada de reset assíncrono; ela é rotulada com CT=0, e é activa a Low: quando se lhe força um nível Low, o contador é reposicionado a 0000 (Se se quisera antes especificar uma entrada de reset síncrono, ter-se-ia que prefixar o rótulo com o pertinente sufixo da entrada clock, seja 2CT=0 ). O título CTR 4 assinala que se trata de um contador com 4 flip-flops; estes encontram-se na cave do símbolo assinalando-se que tanto as suas entradas como as saídas são acessíveis externamente; neles, - 2D afirma que do ponto de vista exterior se comportam como flip-flops D, o prefixo 2 clarificando que reagem a impulsos na entrada de clock C2 ; - esclarece-se, com parêntesis, [ e ], o seu peso relativo: {1, 2, 4, 8} (Para bom entendedor: o contador marca um número, de 0 a 15, através do seu código binário - em que os pesos dos bits crescem de cima para baixo) Ambos os contadores disponibilizam, além das saídas dos flip-flops, uma saída CT : - no contador de cima, ela fica activa (advém High) se e só se o contador marcar 15 - e, além disso, a entrada enable G3 estiver activa (vidé sufixo em G3 e prefixo 3 em CT ); - no contador de baixo, ela fica activa (advém Low) se e só se a entrada enable G3 estiver activa (vidé sufixo em G3 e prefixo 3 em CT ) - e o contador marcar 0 (estando activa a entrada M 7, vidé prefixo 7 em CT ) ou 15 (estando activa a entrada M 6, vidé prefixo 6 em CT ); Os contadores oferecem duas entradas de enable, G, activas a High, a que estão associados sufixos, 3 e 4 : - o prefixo 3 ocorre nas entradas de clock e na saída CT : o contador incrementa ( + ) ou decrementa ( ) se e só se a entrada G3 estiver enabled, e a saída CT permanecerá inactiva enquanto G3 o estiver também; - o prefixo 4 ocorre nas entradas de clock: o contador incrementa ( + ) ou decrementa ( ) se e só se a entrada G4 estiver enabled. Entradas rotuladas M i, como sejam M 1, M 5, M 6 e M 7, providenciam a escolha do modo de funcionamento do contador; para determinar a que diz respeito um modo sufixado com i, procura-se, no símbolo IEC, entradas com rótulos prefixados com i : - quanto a M 1, o prefixo 1 surge associado aos sinais + e : se estiver activa, o contador conta (incrementa ou decrementa); - quanto a M 6, o prefixo 6 surge (no contador de baixo) associado ao sinal + de que se conclui que, quando estiver activa, o contador incrementa; - quanto a M 7, o prefixo 7 surge (no contador de baixo) associado ao sinal de que se conclui que, quando estiver activa, o contador decrementa; - quanto a M 5, o prefixo 5 surge associado às entradas dos flip-flops: se estiver activa, o contador carrega em paralelo os valores então aplicados nessas entradas. Atente-se que {M 1 e M 5 } caracterizam uma mesma entrada: se ela estiver High, o contador funciona no modo 1 e se estiver Low, funciona no modo 5 ; idem para {M 6 e M 7 }: se a entrada a que dizem respeito estiver High, o contador funciona no modo 6 e se estiver Low, funciona no modo 7. Para facilitar a leitura, poder-se-ão incluir comentários entre parêntesis rectos; vidé, por exemplo, M5 [Load] Resta ver como se aplica esta notação em logigramas envolvendo contadores É o que se vai ver de mediato

13 Prof V Vargas, IST Contadores 26/11/13, Pg 13/18

14 Prof V Vargas, IST Contadores 26/11/13, Pg 14/18 Contadores / 18cº Projecto Considere-se que um grupo de amigos pretende um dado electrónico para jogar: com Start, o circuito conta os impulsos de relógio, ciclicamente, de 1 a 6 ; com Stop, ele interrompe a contagem, e mostra o número que ele marca, num display de 7-LEDs, vidé ao lado. Deixando ao leitor o desenho do codificador de 7-LEDs um circuito que, recebendo um dígito codificado em binário de 3-bit, assinale os LEDs {a, b, c, d, e, f, g} a acender, resta construir um contador ciclico de 1 a 6. Diferentemente dos contadores anteriores, trata-se agora de um cujo módulo, 6, não é potência-de-2, e que não começa / recomeça em 0! Mas o seu desenho continua a ser trivial: conforme ao método clássico, e ao modelo de Moore, e optando por flip-flops T, o resultado é um logigrama com três flip-flops, 2 ORs e 4 ANDs. (Atente-se, que aquando do power-on, o circuito pode ingressar nos estados 000 ou 111 ; se isso suceder, - as entradas T advêm então, respectivamente, 001 e o que significa que no primeiro clock a seguir ao power-on, os estados passarão a ser, respectivamente, 001 e 000 ; - no clock seguinte, serão 010 (que é o estado a seguir a 001 ) e 001 (que é o estado a seguir a 000 ). Isto é: se o Stop ocorrer após os dois primeiros clocks, o circuito já estará na contagem cíclica requerida) O logigrama exige vários integrados e a lei do menor esforço leva a uma pergunta: será possível uma solução mais fácil para este Projecto, pelo recurso a um contador no mercado? E a resposta é sim, vidé ao lado: - antes de mais, recorre-se a um contador cujo módulo cubra os 6 estados que o circuito requere; aquele presente no logigrama ao lado tem 4 flip-flops portanto contando ciclicamente {0, 1, 2,, 15}; - resta providenciar para que, ao atingir a contagem 6, o contador regresse a 1 no clock seguinte; isso pode lograr-se se ele disponibilizar dois modos de funcionamento: - um, seja M 1, em que o contador incrementa {1, 2, 3, 4, 5, 6} e que deve estar quase sempre activo; - e um outro, seja M 4, em que se carrega em paralelo o valor 1 - que deve advir activo quando o contador atingir o valor 6, e apenas então. A necessidade de escolher entre os dois modos de funcionamento volve-se numa entrada própria: consoante o nível eléctrico que lhe for aplicado, High ou Low, assim respectivamente se selecciona M 1 ou M 4. Resta decidir como se controla essa entrada: como obrigá-la a volver-se High ou Low? Reflicta-se: M 4 deve ser activado precisamente quando o contador advir 6 para que, no clock seguinte, e em vez de incrementar para 7, retorne a 1. Tudo se resume, portanto, a detectar o valor 6 nas saídas do contador Ora, o método geral para o lograr é já conhecido: dado um conjunto de entradas, o detector de uma combinação particular delas isto é, um circuito cuja saída fica activa só para essa combinação é um Mintermo dessas entradas! No caso, em que a combinação a detectar é 0110, isso conduz ao produto Q Q 3 2 Q 1 Q 0. Porém, há uma solução mais simples: a lista dos (6) valores admissíveis mostra que a combinação 0110 se distingue das outras em que somente nela é que Q 2 =Q 1 =1; isso conduz a um AND entre, só, Q 2 e Q 1 : será quando ele advir activo que se deve activar M 4 (para que o contador, em vez de incrementar para 7, retorne a 1 ). Entretanto, no contador figurado, M 4 é activo a Low o que impõe que a saída desse AND seja activa a Low; quando isso suceder, o contador carregará o que estiver sendo aplicado nas entradas {D 3, D 2, D 1, D 0 } e porquanto se pretende que ele retorne a 1, deverão ser-lhe aplicados precisamente os bits No próximo clock, então, o contador regressará a 1 e de imediato o AND entre Q 2 e Q 1 ficará produzindo o nível High com isso activando M 1 : no próximo clock, e até chegar a 6, o contador irá incrementando Quanto às entradas Start e Stop, elas ficarão ligadas à entrada enable G3 : aquando do Start, ela ficará activa, e o contador contará ciclicamente e, aquando do Stop, fica inactiva: pára, e mostra o seu conteúdo no display (Atente-se: no power-on, o contador pode ingressar nos estados 0000, 0111, 1000, 1001, 1010, 1011, 1100, 1101, 1110 ou 1111 ; se isso suceder, o estado passará a ser 001 após, quando muito, seis clocks a seguir ao power-on: se o Stop ocorrer só depois, o circuito já estará na contagem cíclica requerida) Este Projecto envolve um contador de módulo (6) inferior ao daquele que o mercado disponibiliza (16) pelo que se intui o porquê do próximo Projecto: abordar um contador com um módulo superior (a 16)

15 Prof V Vargas, IST Contadores 26/11/13, Pg 15/18

16 Prof V Vargas, IST Contadores 26/11/13, Pg 16/18 Contadores / 18dº Projecto Uma linha de produção de compotas envolve embalamento automático. Os frascos de compota são dispostos em caixas de capacidade 20, divididas por 4 linhas de 5 frascos. Estes chegam a uma plataforma um-a-um, por um tapete rolante. Por cada 5 frascos, é actuado um vai-vem que os alinha e encosta aos que já estão na plataforma. Por cada 20 frascos, é actuado um braço mecânico, que, mediante um imã, ergue os frascos e os põe numa caixa O diagrama temporal ao lado lembra o do 18aº Projecto - que remeteu para um divisor de frequência por 8 Agora, o vai-vem é actuado por cada 5 frascos, e o braço mecânico é actuado por cada 4 vai-vens ; isso remete para dois divisores de frequência: um por 5 e outro por 4 Após o Projecto anterior, será pacífico o seu desenho a partir de dois contadores de módulo 16, {W, E}; bastará no fim dispô-los em série: a entrada clock de W receberá um impulso por cada frasco chegando e a entrada clock de E receberá os impulsos à saída de W Ora, essa é uma solução assíncrona e o desafio agora é elaborar uma solução síncrona não, é claro, seguindo os passos do método clássico, mas recorrendo a contadores de módulo 16 É o que se vai ver: O braço mecânico é actuado por cada 20 frascos Sendo 16 1 <20<16 2, isso obriga a dispor dois contadores, sejam {N, S}, cujas entradas clock recebam o mesmo clock : um impulso por cada frasco que chegue. Cada contador dispõe de dois modos de funcionamento {M 1 e M 2 } (incremento e carregamento em paralelo); tem uma saída CT que advém High se e só se marcar 15 ; detém uma entrada enable G 3 que necessita estar activa para que ele incremente (e para que a saída CT possa advir activa); comporta uma entrada assíncrona de reset, activa a Low; e disponibiliza 4 entradas onde aplicar os valores 0000 que se pretende carregar em paralelo. Activando o enable G 3 e o modo M 1 (e após reset inicial a {N, S}), é claro que, e por cada clock, eles irão incrementar, marcando pari passu o mesmo valor sem qualquer interesse O que conviria é que {N, S} fossem apresentando os números que se encontram ao lado à esquerda e que, não por acaso (e substituindo os símbolos {A, B., F} pelos respectivos códigos binários), são os códigos na base 16 dos números {0, 1,, 255} Em ordem a apreender como interligar os contadores, bastará olhar para esses números e reflectir: - N limita-se a incrementar em módulo 16 o que aponta para forçar a entrada enable G 3 a ser sempre High; - já S só incrementa quando N atinge 15 ; a sua entrada enable G 3 deverá então ser High só quando N marcar 15 - o que é o mesmo que dizer: quando a saída CT de N estiver activa; isso aponta para ligar essa saída CT à entrada G 3 de S : enquanto N for assumindo valores {0,, 14}, CT mantém-se inactiva - e S não se altera mas, ao chegar a 15, CT advém High com o que G 3 advém activa: no clock seguinte, S incrementa. (O circuito assim obtido, incrementando ciclicamente de 0 a 255, é, obviamente, um contador de módulo 16 16=256; convida-se ao leitor a desenhar o logigrama de um contador de módulo ) Dirá o leitor que o circuito desenhado à esquerda não serve: a contagem deveria retornar a 0 após 19 frascos! {N, S} deveriam antes apresentar os números {00, 01,, 34} ao lado à direita: há que alterar o circuito: - N incrementa em módulo 5 o que se logra forçando a sua entrada enable G 3 a ser sempre High, mas seleccionando M 2 ao marcar 4, para, no clock seguinte, carregar 0000 ; ora, a lista dos (5) valores admissíveis mostra que 0100 se distingue dos outros em que só nele é que Q 2 =1: deve activar-se M 2 quando Q 2 advir activa (o que conduz, e sendo M 2 activo a Low, a um inversor entre Q 2 e a entrada M 2 ); quando isso suceder, deve S incrementar o que se logra ligando também Q 2 à entrada enable G 3 de S ; - S incrementa em módulo 4 o que se logra forçando o modo M 2 ao marcar 3, para, no clock seguinte, carregar 0000 em paralelo; olhando a lista dos (4) valores admissíveis, deduz-se que M 2 deve activar-se quando advir activo o AND entre Q 1 e Q 0 (devendo o AND ter uma saída com a mesma polaridade que M 2 : Low). (Por mor de completude: a solução assíncrona acima não é única: no desenho de {W, E} ficou implícito o carregamento de mas é viável outra solução: agir nas entradas assíncronas CT=0! Na prática, e em vez de limitar {W, E} a contarem só até 5 e 4, deixar que atinjam 6 e 5 - forçando imediatamente o seu reset: - admita-se que W atingiu 0100 ; no clock seguinte, transita para 0101, isto é: fica sendo Q 2 =Q 0 =1; então, incluindo um AND entre Q 2 e Q 0, e ligando a sua saída à entrada CT, esta advém activa, forçando W a retornar de imediato a 0000 : salvo um curtíssimo transiente, W passou de 0100 a 0000 : conta em módulo 5; - admita-se que E atingiu o valor 0011 ; no clock seguinte, ele transita para 0100, isto é: Q 2 advém activo; então, com uma ligação directa de Q 2 à entrada CT, esta advém activa, forçando E a retornar de imediato a 0000 : salvo um curtíssimo transiente, E passou de 0011 a 0000 : conta em módulo 4) Posto que é mister uma entrada Reset que inicialize {W, E} a 0000, convém, é claro, um OR à entrada CT, vidé ao lado, com a mesma polaridade que essa saída: Low)

17 Prof V Vargas, IST Contadores 26/11/13, Pg 17/18

18 Prof V Vargas, IST Contadores 26/11/13, Pg 18/18 Contadores / Contadores Exóticos Os contadores desenhados até agora foram-no sobre flip-flops T: de facto, o método clássico de síntese sobre flip-flops D aparenta ser mais rápido, no sentido de que, obtida a Tabela de Transições, estão outrossim obtidas as Tabelas de Verdade das entradas dos flip-flops D; mas o leitor pode verificar que elas se volvem em expressões algébricas para as entradas não tão simples como as obtidas se se usar flip-flops T Isso, porém, não tem demovido a investigação no sentido de inventar contadores/divisores-de-frequência suportados em flip-flops D - com menos hardware do que aquele a que conduz tal método de síntese. Ao lado, encontram-se os logigramas de alguns desses contadores, todos eles envolvendo apenas 3 flip-flops Uma característica comum dos logigramas ao lado é o serem eles uma série de flip-flops ordenados, entre um primeiro à esquerda e um último à direita sendo que para o segundo, terceiro, até ao último, a entrada D de um flip-flop D recebe o valor na saída Q do flip-flop D que o antecede: o que é específico de cada contador é, apenas, o valor aplicado na entrada D do primeiro flip-flop Admita-se que o estado inicial é 100 ; aquando do primeiro clock após a inicialização, e de facto para todos os clocks seguintes, vai suceder o seguinte: - pois que a entrada D do segundo flip-flop D recebe o valor à saída Q do primeiro, o seu estado vai ficar sendo o do primeiro na altura em que ocorreu o clock; - e, ao mesmo tempo, e pois que a entrada D do terceiro flip-flop D recebe o valor à saída Q do segundo, o seu estado vai ficar sendo o do segundo na altura em que ocorreu esse clock; - e por aí fora: há como que um deslize, do conteúdo dos dois primeiros flip-flops à esquerda para os flip-flops adjacentes à sua direita As únicas interrogações que sobram são as seguintes: como vai ficar o estado do primeiro flip-flop, e qual a subsequente contagem? Num contador em anel, o primeiro flip-flop recebe o valor da saída do último flip-flop. A consequência disso revela-se na tabela que o acompanha: um ciclo através dos estados , após o que regressa ao estado inicial, 100. Trata-se, pois, de um contador de módulo 3. Num contador Johnson, o primeiro flip-flop recebe o inverso do valor da saída do último flip-flop. A consequência disso revela-se na tabela que o acompanha: partindo do estado inicial 100, um ciclo através de 6 estados, após o que regressa ao estado inicial. Trata-se, pois, de um contador de módulo 6. Num contador Linear feed-back shift-register, o primeiro flip-flop recebe o XOR dos valores das saídas dos dois últimos flip-flop. A consequência disso revela-se na tabela que o acompanha: partindo do estado inicial 100, um ciclo através de 7 estados, após o que regressa ao estado inicial. Trata-se, pois, de um contador de módulo 7. Avaliando os contadores assim construídos, é clara a sua vantagem, sobretudo para os dois primeiros contadores: não havendo gates na lógica do estado seguinte (a malha combinatória que gera os novos valores a aplicar às entradas dos flip-flops), eles são mais rápidos; fazem-no, porém, à custa de uma desvantagem, a de que desperdiçam o espaço admissível de contagem dos flip-flops: 3 flip-flops habilitam a construir circuitos contando em módulo 8 Como é da praxe, há porém que inquirir: quando se faz o power-on aos contadores, qual o estado em que eles ingressam? A resposta é: não se sabe! Aqui, isso tem importância: se, ao fazer-se o power-on, ingressarem num estado que não seja 100, a sequência será outra, até pode suceder que não ingressem nos ciclos exibidos Pelo que há que obrigar a que o estado inicial do circuito seja mesmo 100. Isso logra-se com uma entrada Init, e alterando o logigrama concretamente, fazendo o carregamento em paralelo dos valores 100, vidé ao lado (ou, em alternativa, agindo sobre as entradas assíncronas de Clear e Preset) - para que, ao advir ela activa, os flip-flops ingressem no estado inicial 100.

Sistemas Digitais Contadores. João Paulo Carvalho

Sistemas Digitais Contadores. João Paulo Carvalho Sistemas Digitais Contadores João Paulo Carvalho Contadores Assíncronos Um contador binário de 3 bits é um circuito que evolui controladamente ao longo da seguinte sequência (de contagem): Sequência de

Leia mais

Sistemas Digitais (Part III) Page 1 of 111

Sistemas Digitais (Part III) Page 1 of 111 Sistemas Digitais (Part III) Page 1 of 111 Sistemas Digitais (Part III) Page 2 of 111 Sistemas Digitais (Part III) Page 3 of 111 Síntese clássica (Modelo de Moore)/ 16aº Projecto Esta é a primeira sessão

Leia mais

Capítulo VIII Registradores de Deslocamento e Contadores

Capítulo VIII Registradores de Deslocamento e Contadores Capítulo VIII Registradores de Deslocamento e Contadores 1 Introdução Vimos no capítulo anterior que flip-flops são dispositivos capazes de memorizar o seu estado (SET ou RESET). Neste capítulo estudaremos

Leia mais

Circuitos Digitais Cap. 6

Circuitos Digitais Cap. 6 Circuitos Digitais Cap. 6 Prof. José Maria P. de Menezes Jr. Objetivos Flip-Flops e Dispositivos Correlatos Latch com portas NAND Latch com portas NOR Sinais de Clock e Flip-Flops com Clock Flip-Flop S-C

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA CAACTEIZAÇÃO

Leia mais

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto

Circuitos Seqüenciais: Latches e Flip-Flops. Fabrício Noveletto Circuitos Seqüenciais: Latches e Flip-Flops Fabrício Noveletto Objetivos Usar portas lógicas para construir latches básicos Explicar a diferença entre um latch S-R e um latch D Reconhecer a diferença entre

Leia mais

O ESPAÇO NULO DE A: RESOLVENDO AX = 0 3.2

O ESPAÇO NULO DE A: RESOLVENDO AX = 0 3.2 3.2 O Espaço Nulo de A: Resolvendo Ax = 0 11 O ESPAÇO NULO DE A: RESOLVENDO AX = 0 3.2 Esta seção trata do espaço de soluções para Ax = 0. A matriz A pode ser quadrada ou retangular. Uma solução imediata

Leia mais

SISTEMAS DIGITAIS CONTADORES

SISTEMAS DIGITAIS CONTADORES CONTADORES Setembro de 0 CONTADORES - 2 SUMÁRIO: CONTADORES SÍNCRONOS CONTADORES DE MÓDULO 2 N PROJECTO DE CONTADORES FREQUÊNCIA MÁXIMA DE FUNCIONAMENTO SITUAÇÃO DE LOCKOUT SIMBOLOGIA CONTADOR EM ANEL

Leia mais

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS

PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS 1 PROGRAMAÇÃO EM LINGUAGEM LADDER LINGUAGEM DE RELÉS INTRODUÇÃO O processamento interno do CLP é digital e pode-se, assim, aplicar os conceitos de lógica digital para compreen8 der as técnicas e as linguagens

Leia mais

0111100 + 0011111 1011011

0111100 + 0011111 1011011 ESOL SUPERIOR DE TENOLOGI E DE GESTÃO - INSTITUTO POLITÉNIO DE RGNÇ 200 07 4. a. gama de variação de um número em complemento para 2 é: -2 - n 2 -, em que é o número de bits do número representado. ssim

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 6-T 2. Máquinas Sequencias Síncronas: Comparação entre

Leia mais

Tecnicas com Sistemas Digitais

Tecnicas com Sistemas Digitais Tecnicas com Sistemas Digitais Prof. Engº Luiz Antonio Vargas Pinto 1 Prof. Eng Luiz Antonio Vargas Pinto 2 Prof. Eng Luiz Antonio Vargas Pinto Índice Índice...2 Introdução...3 Ruído (Bounce)...3 Transistor

Leia mais

Projeto de Máquinas de Estado

Projeto de Máquinas de Estado Projeto de Máquinas de Estado Organizado por Rodrigo Hausen. Original de Thomas L. Floyd. Versão 0: 15 de março de 2013 http://compscinet.org/circuitos Resumo Grande parte deste texto, exemplos e estrutura

Leia mais

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO

CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO 1 CAPÍTULO 5 CONTADORES NA FORMA DE CIRCUITO INTEGRADO INTRODUÇÃO Devido a necessidade geral de contadores, já existem muitos contadores de forma de CI's. Na série TTL 74 os mais simples são o 74LS90,

Leia mais

Múltiplos Estágios processo com três estágios Inquérito de Satisfação Fase II

Múltiplos Estágios processo com três estágios Inquérito de Satisfação Fase II O seguinte exercício contempla um processo com três estágios. Baseia-se no Inquérito de Satisfação Fase II, sendo, por isso, essencial compreender primeiro o problema antes de começar o tutorial. 1 1.

Leia mais

Soluções Nível 1 5 a e 6 a séries (6º e 7º anos) do Ensino Fundamental

Soluções Nível 1 5 a e 6 a séries (6º e 7º anos) do Ensino Fundamental a e 6 a séries (6º e 7º anos) do Ensino Fundamental 1. (alternativa C) Os números 0,01 e 0,119 são menores que 0,12. Por outro lado, 0,1 e 0,7 são maiores que 0,. Finalmente, 0,29 é maior que 0,12 e menor

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 9: Análise de Circuitos com Contadores 45 1. Objetivos Realizar a analise detalhada de todos os blocos constituintes de um relógio digital. Implementar um relógio digital. 2. Conceito Um contador é construído a partir de flip-flops (T, D JK,

Leia mais

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA CONTADORES Relatório técnico apresentado como requisito parcial para obtenção de aprovação na disciplina de Sistemas Digitais.

Leia mais

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21

Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 Instituto Tecnológico de Aeronáutica - ITA Divisão de Engenharia Eletrônica Departamento de Eletrônica Aplicada Laboratório de EEA-21 7ª Experiência Síntese de Circuitos Sequenciais Síncronos 1. Objetivos

Leia mais

EA773 - Experimento 5

EA773 - Experimento 5 EA773 - Experimento 5 Wu Shin - Ting DCA - FEEC - Unicamp 19 de Novembro de 2009 O projeto consiste em implementar uma calculadora com memória com uso de barramento de 8 bits. Neste documento são discutidos

Leia mais

6.3 Equivalência entre Autômatos com Pilha Não-Determinísticos e Gramáticas Livre do Contexto

6.3 Equivalência entre Autômatos com Pilha Não-Determinísticos e Gramáticas Livre do Contexto Capítulo 6. Autômatos com Pilha 6.3 Equivalência entre Autômatos com Pilha Não-Determinísticos e Gramáticas Livre do Contexto Nos exemplos da seção anterior, vimos que os autômatos com pilha existem para

Leia mais

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José. CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO IFSC - Campus São José CST em Telecomunicações ELETRÔNICA DIGITAL CONTADORES Marcos Moecke São José - SC, 29 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS 5. C 5.2 C ASSÍNCRONOS......

Leia mais

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores MC62 Mario Côrtes IC / Unicamp IC-UNICAMP MC 62 Circuitos Lógicos e Organização de Computadores IC/Unicamp Prof Mario Côrtes Capítulo 7 Circuitos sequenciais: latches, flipflops, registradores, contadores

Leia mais

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara

Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Contadores (Aula1) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Contadores Contadores (cont.) Os contadores podem ser classificados por: Tipo de controle - Assíncrono - Síncrono Tipo de contagem

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações CONTADORES. Marcos Moecke MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações CONTADORES Marcos Moecke São José - SC, 25 SUMÁRIO 5. CONTADORES... 5. CONTADORES ASSÍNCRONOS... CONTADOR ASSÍNCRONO CRESCENTE...

Leia mais

Ferramenta de Testagem IECL Orientações para o Aluno (PT)

Ferramenta de Testagem IECL Orientações para o Aluno (PT) Ferramenta de Testagem IECL Orientações para o Aluno (PT) Índice 1 INTRODUÇÃO 3 2 REALIZAÇÃO DOS TESTES 3 2.1 Login 3 2.2 Verificação do áudio para o teste de Audição 5 2.3 Realização de um teste 5 3 Informação

Leia mais

Conheça o 4017 (ART062)

Conheça o 4017 (ART062) 1 de 11 20/02/2013 18:14 Conheça o 4017 (ART062) Este artigo não é novo, mas sua atualidade se manterá por muito tempo, o que jusitifica o fato dele ser um dos mais acessados desse site. De fato, o circuito

Leia mais

Tecnologia dos Computadores 2002/2003 Trabalho Prático n o 7. Projectos Sequenciais SSI. Contadores Ripple

Tecnologia dos Computadores 2002/2003 Trabalho Prático n o 7. Projectos Sequenciais SSI. Contadores Ripple Trabalho Prático n o 7 Projectos Sequenciais SSI Contadores Ripple 1 Introdução Este trabalho tem como objectivo: introduzir a prática de projectos sequenciais SSI; introduzir os princípios de projecto

Leia mais

SISTEMAS DIGITAIS. Memórias. Prof. Guilherme Arroz Prof. Carlos Sêrro Alterado para lógica positiva por Guilherme Arroz.

SISTEMAS DIGITAIS. Memórias. Prof. Guilherme Arroz Prof. Carlos Sêrro Alterado para lógica positiva por Guilherme Arroz. SISTEMAS DIGITAIS Memórias Alterado para lógica positiva por Guilherme Arroz Sistemas Digitais 1 Tipos de memórias Existem vários tipos de memórias em sistemas digitais As memórias internas dos dispositivos,

Leia mais

Exercícios Teóricos Resolvidos

Exercícios Teóricos Resolvidos Universidade Federal de Minas Gerais Instituto de Ciências Exatas Departamento de Matemática Exercícios Teóricos Resolvidos O propósito deste texto é tentar mostrar aos alunos várias maneiras de raciocinar

Leia mais

Manual SAGe Versão 1.2 (a partir da versão 12.08.01)

Manual SAGe Versão 1.2 (a partir da versão 12.08.01) Manual SAGe Versão 1.2 (a partir da versão 12.08.01) Submissão de Relatórios Científicos Sumário Introdução... 2 Elaboração do Relatório Científico... 3 Submissão do Relatório Científico... 14 Operação

Leia mais

Diagrama de transição de Estados (DTE)

Diagrama de transição de Estados (DTE) Diagrama de transição de Estados (DTE) O DTE é uma ferramenta de modelação poderosa para descrever o comportamento do sistema dependente do tempo. A necessidade de uma ferramenta deste tipo surgiu das

Leia mais

Arquitetura de Rede de Computadores

Arquitetura de Rede de Computadores TCP/IP Roteamento Arquitetura de Rede de Prof. Pedro Neto Aracaju Sergipe - 2011 Ementa da Disciplina 4. Roteamento i. Máscara de Rede ii. Sub-Redes iii. Números Binários e Máscara de Sub-Rede iv. O Roteador

Leia mais

Premiação por Produtividade

Premiação por Produtividade Versão 2.0 Manual destinado à implantadores, técnicos do suporte e usuários finais Sumário Configurações... 3 Definição das Metas... 5 Mês Referência... 5 Cotas por Funcionário... 8 Resultados... 9 Acompanhamento

Leia mais

Conceitos básicos do

Conceitos básicos do Conceitos básicos Conceitos básicos do Este artigo descreve os conceitos de memória eletrônica. Apresentar os conceitos básicos dos flip-flops tipo RS, JK, D e T, D Apresentar o conceito da análise de

Leia mais

Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h

Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara. Carga Horária: 2h/60h Portas Lógicas Básicas Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Colegiado de Engenharia da Computação CECOMP Introdução à Algebra de Boole Em lógica tradicional, uma decisão é tomada

Leia mais

Funções Lógicas e Portas Lógicas

Funções Lógicas e Portas Lógicas Funções Lógicas e Portas Lógicas Nesta apresentação será fornecida uma introdução ao sistema matemático de análise de circuitos lógicos, conhecido como Álgebra de oole Serão vistos os blocos básicos e

Leia mais

Construção de tabelas verdades

Construção de tabelas verdades Construção de tabelas verdades Compreender a Lógica como instrumento da ciência e como estrutura formal do pensamento, conhecendo e compreendendo as operações com os principais conceitos proposicionais

Leia mais

Memória Cache. Prof. Leonardo Barreto Campos 1

Memória Cache. Prof. Leonardo Barreto Campos 1 Memória Cache Prof. Leonardo Barreto Campos 1 Sumário Introdução; Projeto de Memórias Cache; Tamanho; Função de Mapeamento; Política de Escrita; Tamanho da Linha; Número de Memórias Cache; Bibliografia.

Leia mais

AS LEIS DE NEWTON PROFESSOR ANDERSON VIEIRA

AS LEIS DE NEWTON PROFESSOR ANDERSON VIEIRA CAPÍTULO 1 AS LEIS DE NEWTON PROFESSOR ANDERSON VIEIRA Talvez o conceito físico mais intuitivo que carregamos conosco, seja a noção do que é uma força. Muito embora, formalmente, seja algo bastante complicado

Leia mais

Algoritmos e Programação (Prática) Profa. Andreza Leite andreza.leite@univasf.edu.br

Algoritmos e Programação (Prática) Profa. Andreza Leite andreza.leite@univasf.edu.br (Prática) Profa. Andreza Leite andreza.leite@univasf.edu.br Introdução O computador como ferramenta indispensável: Faz parte das nossas vidas; Por si só não faz nada de útil; Grande capacidade de resolução

Leia mais

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T.

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T. Sistemas Digitais, 2ª chamada 10/Jul/2002 (01101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 2ª chamada 10/Julho/2002 Duração: 2horas, sem consulta.

Leia mais

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS

SISTEMAS DIGITAIS CIRCUITOS COMBINATÓRIOS TÍPICOS CIRCUITOS COMBINATÓRIOS TÍPICOS Setembro de CIRCUITOS COMBINATÓRIOS TÍPICOS - SUMÁRIO: DESCODIFICADORES CODIFICADORES MULTIPLEXERS DEMULTIPLEXERS SOMADORES / SUBTRACTORES COMPARADORES Setembro de CIRCUITOS

Leia mais

OFICIAL DA ORDEM MILITAR DE CRISTO MEDALHA DE EDUCAÇÃO FÍSICA E BONS SERVIÇOS. Circular n.º 023-A/2014 Portal F.P.T. - Inscrições (Aditamento)

OFICIAL DA ORDEM MILITAR DE CRISTO MEDALHA DE EDUCAÇÃO FÍSICA E BONS SERVIÇOS. Circular n.º 023-A/2014 Portal F.P.T. - Inscrições (Aditamento) Circular n.º 023-A/2014 Portal F.P.T. - Inscrições (Aditamento) Exmo. Sr. Presidente, A Direcção da F.P.T. tem emitido, ao longo dos últimos meses, diversas Circulares, com o objectivo de ir informando,

Leia mais

Projeto Dirigido: Genius

Projeto Dirigido: Genius Projeto Dirigido: Genius Genius era um brinquedo muito popular na década de 80 e que buscava estimular a memorização de cores e sons. Com um formato semelhante a um objeto voador não identificado, possuía

Leia mais

Circuitos Lógicos Aula 23

Circuitos Lógicos Aula 23 Circuitos Lógicos Aula 23 Rodrigo R. Paim ECI, LAND - UFRJ 09/06/2011 Circuitos Lógicos Aula 23 Aula Passada Aritmética Binária Representação binária com sinal Complemento a 2 Adição e Subtração Multiplicação

Leia mais

COMPETÊNCIAS BÁSICAS EM TIC NAS EB1

COMPETÊNCIAS BÁSICAS EM TIC NAS EB1 COMPETÊNCIAS BÁSICAS EM TIC NAS EB1 Oficina do Correio Para saber mais sobre Correio electrónico 1. Dicas para melhor gerir e organizar o Correio Electrónico utilizando o Outlook Express Criar Pastas Escrever

Leia mais

Manual de Utilização

Manual de Utilização Manual de Utilização Versão 1.0 18/01/2013 Sempre consulte por atualizações deste manual em nossa página. O Cotação Web está em constante desenvolvimento, podendo ter novas funcionalidades adicionadas

Leia mais

Computadores XXI: Busca e execução Final

Computadores XXI: Busca e execução Final Computadores XXI: Busca e execução Final A6 Texto 6 http://www.bpiropo.com.br/fpc20060123.htm Sítio Fórum PCs /Colunas Coluna: B. Piropo Publicada em 23/01/2006 Autor: B.Piropo Na coluna anterior, < http://www.forumpcs.com.br/viewtopic.php?t=146019

Leia mais

Universidade Estadual do Ceará

Universidade Estadual do Ceará Universidade Estadual do Ceará Felipe de Almeida Xavier Joao Gonçalves Filho Trabalho Circuitos Lógicos Digitais Professor Domingos Sávio 20 de março de 2010 1 Sumário 1 Uma vista por cima 3 2 A macro

Leia mais

SISTEMA CLÁSSICO DE REDUÇÃO

SISTEMA CLÁSSICO DE REDUÇÃO Page 1 of 6 SISTEMA CLÁSSICO DE REDUÇÃO Este documento irá ensinar-lhe como pode fazer um desdobramento reduzido, segundo o processo clássico (italiano) para qualquer sistema 5/50, em particular para o

Leia mais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais

Sistemas Digitais I LESI :: 2º ano. Questões Práticas de Sistemas Sequenciais Sistemas Digitais I LESI :: 2º ano Questões Práticas de Sistemas Sequenciais António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secções 8.3.1, 8.4 (parte), 8.5 (parte), DDPP,

Leia mais

Aula 8 Circuitos Integrados

Aula 8 Circuitos Integrados INTRODUÇÃO À ENGENHRI DE COMPUTÇÃO PONTIFÍCI UNIVERSIDDE CTÓLIC DO RIO GRNDE DO SUL FCULDDE DE ENGENHRI ula Circuitos Integrados Introdução Portas Lógicas em Circuitos Integrados Implementação de Funções

Leia mais

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS

CAPÍTULO 5 CIRCUITOS SEQUENCIAIS CAPÍTULO 5 CIRCUITOS SEQUENCIAIS Circuitos com memória Latches NAND e NOR e exemplos de utilização Estado do Flip-flop ao ligar o circuito Pulsos digitais Sinais de clock e flip-flops com clock circuitos

Leia mais

Gerenciamento de Projetos

Gerenciamento de Projetos Gerenciamento de Projetos O objetivo do módulo de Gerenciamento de Projetos é ajudar a empresa a gerenciar com mais eficiência os seus projetos. Controle dos prazos, das tarefas, dos eventos, da quantidade

Leia mais

Contagem I. Figura 1: Abrindo uma Porta.

Contagem I. Figura 1: Abrindo uma Porta. Polos Olímpicos de Treinamento Curso de Combinatória - Nível 2 Prof. Bruno Holanda Aula 4 Contagem I De quantos modos podemos nos vestir? Quantos números menores que 1000 possuem todos os algarismos pares?

Leia mais

Observação das aulas Algumas indicações para observar as aulas

Observação das aulas Algumas indicações para observar as aulas Observação das aulas Algumas indicações para observar as aulas OBJECTVOS: Avaliar a capacidade do/a professor(a) de integrar esta abordagem nas actividades quotidianas. sso implicará igualmente uma descrição

Leia mais

MANUAL DE INSTRUÇÕES

MANUAL DE INSTRUÇÕES DIRECÇÃO GERAL DOS RECURSOS HUMANOS DA EDUCAÇÃO DIRECÇÃO DE SERVIÇOS DE SISTEMAS DE INFORMAÇÃO MANUAL DE INSTRUÇÕES (APENAS PARA ESCOLAS) MANIFESTAÇÃO DE NECESSIDADES PARA COLOCAÇÕES CÍCLICAS ANO ESCOLAR

Leia mais

Aritmética Binária e. Bernardo Nunes Gonçalves

Aritmética Binária e. Bernardo Nunes Gonçalves Aritmética Binária e Complemento a Base Bernardo Nunes Gonçalves Sumário Soma e multiplicação binária Subtração e divisão binária Representação com sinal Sinal e magnitude Complemento a base. Adição binária

Leia mais

MANUAL DO UTILIZADOR

MANUAL DO UTILIZADOR MANUAL DO UTILIZADOR Versão 1.6 PÁGINA DE PESQUISA A página principal do PacWeb permite a realização de um número muito variado de pesquisas, simples, ou pelo contrário extremamente complexas, dependendo

Leia mais

Índice. Modelos e Procedimentos

Índice. Modelos e Procedimentos Sumário Introdução ao projecto de lógica sequencial. Índice Modelos e Procedimentos Abstracção de elementos com estado Formas de lógica sequencial Representação de Máquinas de Estados Finitas Parte da

Leia mais

Falso: F = Low voltage: L = 0

Falso: F = Low voltage: L = 0 Curso Técnico em Eletrotécnica Disciplina: Automação Predial e Industrial Professor: Ronimack Trajano 1 PORTAS LOGICAS 1.1 INTRODUÇÃO Em 1854, George Boole introduziu o formalismo que até hoje se usa para

Leia mais

SAMUO APP: MANUAL DO ADMINISTRADOR

SAMUO APP: MANUAL DO ADMINISTRADOR as novas tecnologias ao serviço do desenvolvimento de projectos w w w. i m a d i p. c o m CABO VERDE: REALIZAÇÃO DE UMA ACÇÃO- PILOTO PARA A MELHORIA DA GESTÃO NUM GABINETE TÉCNICO SELECCIONADO OFITEC

Leia mais

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento

Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento 1 2 3 Figura 1 - Diagrama de um sistema de controle de temperatura que requer conversão analógico-digital para permitir o uso de técnicas de processamento digital - (Sistemas Digitais: Princípios e Aplicações

Leia mais

Computadores XII: Aprendendo a Somar A4 Texto 3

Computadores XII: Aprendendo a Somar A4 Texto 3 Computadores XII: Aprendendo a Somar A4 Texto 3 http://www.bpiropo.com.br/fpc20051017.htm Sítio Fórum PCs /Colunas Coluna: B. Piropo Publicada em 17/10/2005 Autor: B.Piropo Na coluna anterior, < http://www.forumpcs.com.br/viewtopic.php?t=131250

Leia mais

Transição de POC para SNC

Transição de POC para SNC Transição de POC para SNC A Grelha de Transição surge no âmbito da entrada em vigor, no ano de 2010, do Sistema de Normalização Contabilística (SNC). O SNC vem promover a melhoria na contabilidade nacional,

Leia mais

Utilização do SOLVER do EXCEL

Utilização do SOLVER do EXCEL Utilização do SOLVER do EXCEL 1 Utilização do SOLVER do EXCEL José Fernando Oliveira DEEC FACULDADE DE ENGENHARIA DA UNIVERSIDADE DO PORTO MAIO 1998 Para ilustrar a utilização do Solver na resolução de

Leia mais

Ajuda ao SciEn-Produção 1. 1. O Artigo Científico da Pesquisa Experimental

Ajuda ao SciEn-Produção 1. 1. O Artigo Científico da Pesquisa Experimental Ajuda ao SciEn-Produção 1 Este texto de ajuda contém três partes: a parte 1 indica em linhas gerais o que deve ser esclarecido em cada uma das seções da estrutura de um artigo cientifico relatando uma

Leia mais

QUESTÃO 1 ALTERNATIVA B

QUESTÃO 1 ALTERNATIVA B 1 QUESTÃO 1 Marcos tem 10 0,25 = 2,50 reais em moedas de 25 centavos. Logo ele tem 4,30 2,50 = 1,80 reais em moedas de 10 centavos, ou seja, ele tem 1,80 0,10 = 18 moedas de 10 centavos. Outra maneira

Leia mais

Usando o Excel ESTATÍSTICA. Funções

Usando o Excel ESTATÍSTICA. Funções Funções Podemos usar no Excel fórmulas ou funções. Anteriormente já vimos algumas fórmulas. Vamos agora ver o exemplo de algumas funções que podem ser úteis para o trabalho de Excel. Para começar podemos

Leia mais

ARQUITETURA DE COMPUTADORES - CONCEITUAL

ARQUITETURA DE COMPUTADORES - CONCEITUAL Aula 01 04/08/2008 Universidade do Contestado UnC Sistemas de Informação Arquitetura de Computadores 2ª Fase Prof. Carlos Guerber ARQUITETURA DE COMPUTADORES - CONCEITUAL O QUE É O COMPUTADOR? Um computador

Leia mais

Índice. Como aceder ao serviço de Certificação PME? Como efectuar uma operação de renovação da certificação?

Índice. Como aceder ao serviço de Certificação PME? Como efectuar uma operação de renovação da certificação? Índice Como aceder ao serviço de Certificação PME? Como efectuar uma operação de renovação da certificação? Como efectuar uma operação de confirmação de estimativas? Como aceder ao Serviço de Certificação

Leia mais

5 Entrada e Saída de Dados:

5 Entrada e Saída de Dados: 5 Entrada e Saída de Dados: 5.1 - Arquitetura de Entrada e Saída: O sistema de entrada e saída de dados é o responsável pela ligação do sistema computacional com o mundo externo. Através de dispositivos

Leia mais

Circuitos Sequenciais. Sistemas digitais

Circuitos Sequenciais. Sistemas digitais Circuitos Sequenciais Sistemas digitais Agenda } Introdução } Latchs (trava) } Latch NAND e Latch NOR } Flip-Flop Set-Reset (FF S-R) } FF S-R Latch NAND, FF S-R Latch NOR, FF S-R Latch NAND com Clock }

Leia mais

Exame (2ª chamada) Sistemas Digitais I LESI 2º ano ❶ Ano 2001/02 21/Jun/2002 Univ. Minho

Exame (2ª chamada) Sistemas Digitais I LESI 2º ano ❶ Ano 2001/02 21/Jun/2002 Univ. Minho [ ] + [ ] + [ ] + [ ] = [Téor ] T: + P: = Exame (2ª chamada) Sistemas Digitais I LESI 2º ano ❶ Ano 2001/02 21/Jun/2002 Univ. Minho Nome: Nº: As questões devem ser respondidas nas folhas de enunciado. As

Leia mais

Controladores Lógicos Programáveis CLP (parte-3)

Controladores Lógicos Programáveis CLP (parte-3) Controladores Lógicos Programáveis CLP (parte-3) Mapeamento de memória Na CPU (Unidade Central de Processamento) de um CLP, todas a informações do processo são armazenadas na memória. Essas informações

Leia mais

5 Equacionando os problemas

5 Equacionando os problemas A UA UL LA Equacionando os problemas Introdução Nossa aula começará com um quebra- cabeça de mesa de bar - para você tentar resolver agora. Observe esta figura feita com palitos de fósforo. Mova de lugar

Leia mais

CIRCUITOS E SISTEMAS ELECTRÓNICOS

CIRCUITOS E SISTEMAS ELECTRÓNICOS INSTITUTO SUPERIOR DE CIÊNCIAS DO TRABALHO E DA EMPRESA Enunciado do 2º Trabalho de Laboratório CIRCUITOS E SISTEMAS ELECTRÓNICOS MODELAÇÃO E SIMULAÇÃO DE CIRCUITOS DE CONVERSÃO ANALÓGICO-DIGITAL E DIGITAL-ANALÓGICO

Leia mais

Aula 09. Memórias e Circuitos Digitais Seqüenciais

Aula 09. Memórias e Circuitos Digitais Seqüenciais Aula 09 Memórias e Circuitos Digitais Seqüenciais Introdução Os circuitos lógicos estudados até aqui são chamados de combinacionais (ou combinatórios). São assim chamados porque a sua saída depende apenas

Leia mais

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008.

CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. CEFET/RJ - Centro Federal de Educação Tecnológica Celso Suckow da Fonseca Rio de Janeiro, 13 de novembro de 2008. 4 a LISTA DE EXERCÍCIOS DE ELETRÔNICA DIGITAL Prof. Alessandro Jacoud Peixoto 1. Suponha

Leia mais

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos

Display de 7. PdP. Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006. Pesquisa e Desenvolvimento de Produtos TUTORIAL Display de 7 Segmentos Autor: Tiago Lone Nível: Básico Criação: 16/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br contato@maxwellbohr.com.br

Leia mais

ANO LETIVO 2015 / 2016

ANO LETIVO 2015 / 2016 1 30 40 21-9-2015 03-11-2015 Sistemas de Numeração Conhecer a estrutura de um Sistema de Numeração e os principais Sistemas de Numeração Efetuar a conversão de números entre os vários sistemas de numeração.

Leia mais

1 Transmissão digital em banda base

1 Transmissão digital em banda base 1 Transmissão digital em banda base A transmissão digital oferece algumas vantagens no que diz respeito ao tratamento do sinal, bem como oferecimento de serviços: Sinal pode ser verificado para avaliar

Leia mais

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre

ACENDENDO AS LUZES. Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre ACENDENDO AS LUZES Capitulo 2 - Aula 1 Livro: Arduino básico Tutor: Wivissom Fayvre Projeto 1 LED piscante Neste capitulo, todos os projetos utilizam luzes LED s de diversas formas. Em relação ao hardware,

Leia mais

Manual do Painel Administrativo

Manual do Painel Administrativo Manual do Painel Administrativo versão 1.0 Autores César A Miggiolaro Marcos J Lazarin Índice Índice... 2 Figuras... 3 Inicio... 5 Funcionalidades... 7 Analytics... 9 Cidades... 9 Conteúdo... 10 Referência...

Leia mais

Funções de Posicionamento para Controle de Eixos

Funções de Posicionamento para Controle de Eixos Funções de Posicionamento para Controle de Eixos Resumo Atualmente muitos Controladores Programáveis (CPs) classificados como de pequeno porte possuem, integrados em um único invólucro, uma densidade significativa

Leia mais

Celebre este natal e ano novo junto aos seus amigos e familiares distantes.

Celebre este natal e ano novo junto aos seus amigos e familiares distantes. Celebre este natal e ano novo junto aos seus amigos e familiares distantes. Receba fotos e mensagens deles na TV de sua casa em tempo real e sem custo, não se preocupe mais com a distância! A festa será

Leia mais

Programação de Computadores I Fluxogramas PROFESSORA CINTIA CAETANO

Programação de Computadores I Fluxogramas PROFESSORA CINTIA CAETANO Programação de Computadores I Fluxogramas PROFESSORA CINTIA CAETANO Problemas & Algoritmos Para resolver um problema através dum computador é necessário encontrar em primeiro lugar uma maneira de descrevê-lo

Leia mais

5 Circuitos Equivalentes

5 Circuitos Equivalentes 5 Circuitos Equivalentes 5.1 Circuitos Equivalentes Nos capítulos anteriores já se apresentaram diversos exemplos de circuitos equivalentes, por exemplo, resistências em série e em paralelo ou a chamada

Leia mais

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006

Entradas Digitais. PdP. Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 TUTORIAL Entradas Digitais Autores: Luís Fernando Patsko e Tiago Lone Nível: Intermediário Criação: 27/12/2005 Última versão: 18/12/2006 PdP Pesquisa e Desenvolvimento de Produtos http://www.maxwellbohr.com.br

Leia mais

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009

Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 1 9 a 13 de Março 2009 Laboratório de Arquitectura de Computadores IST - Taguspark 2008/2009 Introdução aos sistemas binários Guião 9 a 3 de Março 2009 (Semana 2) Objectivos Com este trabalho pretende-se que os alunos se familiarizem

Leia mais

Curso de Instalação e Gestão de Redes Informáticas

Curso de Instalação e Gestão de Redes Informáticas ESCOLA PROFISSIONAL VASCONCELLOS LEBRE Curso de Instalação e Gestão de Redes Informáticas PROCESSADORES DE 64 BITS X PROCESSADORES DE 32 BITS José Vitor Nogueira Santos FT2-0749 Mealhada, 2009 Introdução

Leia mais

Podemos encontrar uma figura interessante no PMBOK (Capítulo 7) sobre a necessidade de organizarmos o fluxo de caixa em um projeto.

Podemos encontrar uma figura interessante no PMBOK (Capítulo 7) sobre a necessidade de organizarmos o fluxo de caixa em um projeto. Discussão sobre Nivelamento Baseado em Fluxo de Caixa. Item aberto na lista E-Plan Podemos encontrar uma figura interessante no PMBOK (Capítulo 7) sobre a necessidade de organizarmos o fluxo de caixa em

Leia mais

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops

SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops SISTEMAS DIGITAIS LETI, LEE Ano lectivo de 2013/2014 Trabalho 3 Latches e Flip-Flops 1. Introdução Este trabalho foi concebido para que os alunos se familiarizem com o uso de latches e flip-flop. Inicia-se

Leia mais

Endereçamento IP 09/05/2014. Endereçamento IP CLASSE B CLASSE A CLASSE A CLASSE C

Endereçamento IP 09/05/2014. Endereçamento IP CLASSE B CLASSE A CLASSE A CLASSE C Endereçamento IP Endereçamento IP Prof. Marcel Santos Silva marcel@magres.com.br É uma sequência de números composta de 32 bits. Esse valor consiste num conjunto de quatro grupos de 8 bits. Cada conjunto

Leia mais

2013 GVDASA Sistemas Cheques 1

2013 GVDASA Sistemas Cheques 1 2013 GVDASA Sistemas Cheques 1 2013 GVDASA Sistemas Cheques 2 AVISO O conteúdo deste documento é de propriedade intelectual exclusiva da GVDASA Sistemas e está sujeito a alterações sem aviso prévio. Nenhuma

Leia mais

x0 = 1 x n = 3x n 1 x k x k 1 Quantas são as sequências com n letras, cada uma igual a a, b ou c, de modo que não há duas letras a seguidas?

x0 = 1 x n = 3x n 1 x k x k 1 Quantas são as sequências com n letras, cada uma igual a a, b ou c, de modo que não há duas letras a seguidas? Recorrências Muitas vezes não é possível resolver problemas de contagem diretamente combinando os princípios aditivo e multiplicativo. Para resolver esses problemas recorremos a outros recursos: as recursões

Leia mais

Símbolos Lógicos com Tabelas-Verdade

Símbolos Lógicos com Tabelas-Verdade Slide 1 Símbolos Lógicos com Tabelas-Verdade PORTAS INVERSOR A NEG OR 6.071 Lógica Digital 1 A lógica digital pode ser descrita em termos de símbolos lógicos padrão e suas tabelas-verdade correspondentes.

Leia mais

Sistema LigaMagic de Torneios

Sistema LigaMagic de Torneios Sistema LigaMagic de Torneios O Sistema LigaMagic de Torneios (www.ligamagic.com.br) tem como objetivo fortalecer as lojas e organizadores de Magic no Brasil. Para isto estamos criando um sistema de torneios

Leia mais