Arquitectura de Computadores

Tamanho: px
Começar a partir da página:

Download "Arquitectura de Computadores"

Transcrição

1 Arquitectura de Computadores Estrutura Itera de um Processador (Cap. 8 e 12.1) José Moteiro Liceciatura em Egeharia Iformática e de Computadores Departameto de Egeharia Iformática (DEI) Istituto Superior Técico 18 de Março, 2013 José Moteiro (DEI / IST) Arquitectura de Computadores / 23

2 Sumário da Aula estrutura itera de um processador uidade de processameto uidade de cotrolo estrutura da uidade de processameto baco de registos uidade lógica é aritmética uidade de processameto do P3 José Moteiro (DEI / IST) Arquitectura de Computadores / 23

3 Estrutura Itera de um Processador Uidade de processameto Uidade de cotrolo Palavra de cotrolo Bits de estado Baco de registos ULA PC IR Barrameto de edereços Barrameto de dados José Moteiro (DEI / IST) Arquitectura de Computadores / 23

4 Coceitos Micro-operação: operação elemetar realizada um ciclo de relógio pela Uidade de Processameto. José Moteiro (DEI / IST) Arquitectura de Computadores / 23

5 Coceitos Micro-operação: operação elemetar realizada um ciclo de relógio pela Uidade de Processameto. Palavra de Cotrolo: cojuto de bits gerados a cada ciclo de relógio pela Uidade de Cotrolo que determiam as micro-operações a realizar a Uidade de Processameto. José Moteiro (DEI / IST) Arquitectura de Computadores / 23

6 Coceitos Micro-operação: operação elemetar realizada um ciclo de relógio pela Uidade de Processameto. Palavra de Cotrolo: cojuto de bits gerados a cada ciclo de relógio pela Uidade de Cotrolo que determiam as micro-operações a realizar a Uidade de Processameto. Bits de Estado (Flags): iformação sobre o resultado da micro-operação realizada pela Uidade de Processameto. José Moteiro (DEI / IST) Arquitectura de Computadores / 23

7 Baco de Registos 3 Escrita SelD D Baco de 8 registos SelA SelB 3 3 A B José Moteiro (DEI / IST) Arquitectura de Computadores / 23

8 Baco de Registos SelD 3 E Escrita Descodificador Ld R7 D Ld Ld Ld Ld Ld Ld Ld R6 R5 R4 R3 R2 R1 R0 3 Escrita SelD D Baco de 8 registos SelA SelB 3 3 A B CLK SelA Sel MUX Sel 3 MUX SelB 3 A B José Moteiro (DEI / IST) Arquitectura de Computadores / 23

9 Estrutura Itera de um Processador Uidade de processameto Uidade de cotrolo Palavra de cotrolo Bits de estado Baco de registos ULA PC IR Barrameto de edereços Barrameto de dados José Moteiro (DEI / IST) Arquitectura de Computadores / 23

10 Estrutura Itera da Uidade Lógica e Aritmética do P3 A B Palavra de cotrolo Uidade aritmética Uidade lógica p q r SelMUX 2 Uidade de deslocameto Resultado José Moteiro (DEI / IST) Arquitectura de Computadores / 23

11 Uidade Aritmética A B SelB 2 3 G Y 2 Cout Cout + Ci Ci SelC C R G 2 G 1 G 0 Y i C i Microoperação 000 B i 0 R A + B soma 001 B i 1 R A B subtracção 010 B i C R A + B + C soma com bit de trasporte 011 B i C R A B C subtracção com trasporte egado R A 1 decremeto R A + 1 icremeto C R A C decremeto, se C = C R A + C icremeto, se C = 1 José Moteiro (DEI / IST) Arquitectura de Computadores / 23

12 Estrutura Itera da Uidade Lógica e Aritmética A B Palavra de cotrolo Uidade aritmética Uidade lógica p q r SelMUX 2 Uidade de deslocameto Resultado José Moteiro (DEI / IST) Arquitectura de Computadores / 23

13 Uidade Lógica A B i i 0 1 MUX 2 3 S S 0 1 H 0 H 1 R i H 1 H 0 Microoperação 00 R A complemeto 01 R A B cojução 10 R A B disjução 11 R A B disjução exclusiva José Moteiro (DEI / IST) Arquitectura de Computadores / 23

14 Estrutura Itera da Uidade Lógica e Aritmética A B Palavra de cotrolo Uidade aritmética Uidade lógica p q r SelMUX 2 Uidade de deslocameto Resultado José Moteiro (DEI / IST) Arquitectura de Computadores / 23

15 Operações de Deslocameto Deslocameto simples: SHL SHR C 0 0 C Deslocameto aritmético: SHLA SHRA C 0 C Rotação: ROL ROR C C Rotação com trasporte: ROLC RORC C C José Moteiro (DEI / IST) Arquitectura de Computadores / 23

16 Uidade de Deslocameto J 2 J 1 J 0 Microoperação 000 R shr A deslocameto lógico à direita 001 R shl A deslocameto lógico à esquerda 010 R shra A deslocameto aritmético à direita 011 R shla A deslocameto aritmético à esquerda 100 R ror A rotação à direita 101 R rol A rotação à esquerda 110 R rorc A rotação à direita com trasporte 111 R rolc A rotação à esquerda com trasporte José Moteiro (DEI / IST) Arquitectura de Computadores / 23

17 Uidade de Deslocameto A A -2 A 0 A -1 A -3 A -1 A 0 A 2 A -1 A 1 Ci 0 Ci 0 0 S S S 0 MUX S 0 MUX A -1 A 0 J 2 J 1 J 0 Sel MUX Sel 1 MUX Sel 1 MUX Sel 1 MUX R -1 R -2 R 1 R 0 0 Sel 1 MUX R Cout José Moteiro (DEI / IST) Arquitectura de Computadores / 23

18 Estrutura Itera da Uidade Lógica e Aritmética A B Palavra de cotrolo Uidade aritmética Uidade lógica p q r SelMUX 2 Uidade de deslocameto Resultado José Moteiro (DEI / IST) Arquitectura de Computadores / 23

19 Tabela de Micro-operações da ULA S 4 S 3 S 2 S 1 S 0 Microoperação R A + B soma R A B subtracção R A + B + C soma com bit trasporte R A B C subtracção com trasporte egado R A 1 decremeto R A + 1 icremeto R A C decremeto, se C = R A + C icremeto, se C = R A complemeto R A B cojução R A B disjução R A B disjução exclusiva R shr A deslocameto lógico à direita R shl A deslocameto lógico à esquerda R shra A deslocameto aritmético à direita R shla A deslocameto aritmético à esquerda R ror A rotação à direita R rol A rotação à esquerda R rorc A rotação à direita com trasporte R rolc A rotação à esquerda com trasporte R A trasferêcia José Moteiro (DEI / IST) Arquitectura de Computadores / 23

20 Uidade de Processameto do P3 WR D SelAD 4 4 SelB Reset A Baco de registos B MA 0 1 MB 0 1 MUXA MUXB WM W Memória CULA 5 BUS A Uidade lógica e aritmética BUS B 4 Estado FM 4 5 FIN LF RE FR Registo de estado 000h FOUT 11 5 Edereço Escrita de dados Leitura de dados MD MUXD CONST LI RI José Moteiro (DEI / IST) Arquitectura de Computadores / 23

21 Baco de Registos Registo Descrição R0 Costate 0 R1 Registo de uso geral R2 Registo de uso geral R3 Registo de uso geral R4 Registo de uso geral R5 Registo de uso geral R6 Registo de uso geral R7 Registo de uso geral R8 Registo de uso restrito R9 Registo de uso restrito R10 Registo de uso restrito R11 Operado (SD) R12 Edereço de destio (EA) R13 Resultado (RD) R14 Apotador da pilha (SP) R15 Cotador de programa (PC) José Moteiro (DEI / IST) Arquitectura de Computadores / 23

22 Uidade de Processameto do P3 WR D SelAD 4 4 SelB Reset A Baco de registos B MA 0 1 MB 0 1 MUXA MUXB WM W Memória CULA 5 BUS A Uidade lógica e aritmética BUS B 4 Estado FM 4 5 FIN LF RE FR Registo de estado 000h FOUT 11 5 Edereço Escrita de dados Leitura de dados MD MUXD CONST LI RI José Moteiro (DEI / IST) Arquitectura de Computadores / 23

23 Registo de Estado FIN FR 5 4 ZR CR NR OR LF Ld LF 0 1 LF 0 1 LF 0 1 LF 0 1 MUX MUX MUX MUX FM3 FM2 FM1 FM0 Ld Ld Ld Ld LF LF LF LF E Z C N O z c 5 FOUT P FM 3 FM2 FM 1 FM 0 Z C N O José Moteiro (DEI / IST) Arquitectura de Computadores / 23

24 Palavra de Cotrolo do P3 Sial # bits Fução SelAD 4 Cotrola os portos A e D do baco de registos SelB 4 Cotrola o porto B do baco de registos MA 1 Cotrolo do multiplexador A MB 1 Cotrolo do multiplexador B MD 2 Cotrolo do multiplexador D WR 1 Escrita o baco de registos WM 1 Escrita em memória LF 1 Carrega os bits de estado LI 1 Carrega o registo de istrução FM 4 Cotrola a actualização dos bits de estado CULA 5 Cotrola operação a executar a ULA CONST 12 Valor de costate umérica José Moteiro (DEI / IST) Arquitectura de Computadores / 23

25 Revisão estrutura itera de um processador uidade de processameto uidade de cotrolo estrutura da uidade de processameto baco de registos uidade lógica é aritmética uidade de processameto do P3 José Moteiro (DEI / IST) Arquitectura de Computadores / 23

26 Próxima Aula fluxograma da execução de uma istrução Assembly cotrolo microprogramado uidade de cotrolo do P3 micro-istrução micro- programação José Moteiro (DEI / IST) Arquitectura de Computadores / 23

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Microprogramação (7.5); Unidade de Processamento do P3 (12.1) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores rquitectura de omputadores Uidade de Processameto de um Processador (5.1 a 5.4, 8.4) José Moteiro Liceciatura em Egeharia Iformática e de omputadores Departameto de Egeharia Iformática (DEI) Istituto uperior

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Estrutura Itera de um Processador (8.1 a 8.3) José Moteiro Liceciatura em Egeharia Iformática e de Computadores epartameto de Egeharia Iformática (EI) Istituto Superior Técico

Leia mais

Circuitos Aritméticos II

Circuitos Aritméticos II Circuitos Aritméticos II José Costa Itrodução à Arquitetura de Computadores Departameto de Egeharia Iformática (DEI) Istituto Superior Técico 2013-10-11 José Costa (DEI/IST) Circuitos Aritméticos II 1

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 5.0 - Português Aula N o 13: Título: P3 - Sumário: Unidade de do P3 (micro-sequenciador, teste de variáveis, memórias de mapeamento,

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: do P3 - Microprogramação Unidade de do P3; Unidade de do P3 (micro-sequenciador,

Leia mais

Arquitetura de Um Processador I

Arquitetura de Um Processador I Arquitetura de Um Processador I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-08 José Costa (DEI/IST) Arquitetura de

Leia mais

Arquitetura de Um Processador III

Arquitetura de Um Processador III Arquitetura de Um Processador III José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-11-15 José Costa (DEI/IST) Arquitetura

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) rquitectura de omputadores (om) MEer cetatos das ulas Teóricas Versão 4. - Português ula N o 11: Título: umário: Processameto de um Processador processameto de um processador (baco de registos, Uidade

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Exemplos de Microprogramação (12.3); Família Intel x86 José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Tópicos Avançados de Arquitectura de Computadores (15) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de

Leia mais

Sistemas Digitais (SD) Unidade Lógica e Aritmética

Sistemas Digitais (SD) Unidade Lógica e Aritmética Sistemas Digitais (SD) Unidade Lógica e Aritmética Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Somadores / Subtractores Comparadores 2 Planeamento SEMANA TEÓRICA 1 TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Sistemas Digitais (SD) Unidade Lógica e Aritmética

Sistemas Digitais (SD) Unidade Lógica e Aritmética Sistemas Digitais (SD) Unidade Lógica e Aritmética Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Somadores / Subtractores Comparadores 2 Planeamento Teste 1 3 Sumário Tema da aula de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: Unidade Lógica e Aritmética Unidade Lógica e Aritmética (ULA). 2015/2016

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 11: Título: Sumário: Circuitos combinatórios: (ULA). 2014/2015 Nuno.Roma@tecnico.ulisboa.pt Sistemas Digitais (SD)

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Sistema de Memória (6.8 e 13.1) José Moteiro Liceciatura em Egeharia Iformática e de Computadores epartameto de Egeharia Iformática (EI) Istituto Superior Técico 7 de Maio,

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de Entrada/Saída

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Nuno Cavaco Gomes Horta / Paulo Lopes Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Unidade de Controlo Conjunto de Instruções (CPU) Unidade de

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Geração do Código Objecto (10.7 e 10.8) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior

Leia mais

Conjunto de Instruções (ISA) I

Conjunto de Instruções (ISA) I Conjunto de Instruções (ISA) I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-16 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Conjunto de Instruções (ISA) II

Conjunto de Instruções (ISA) II Conjunto de Instruções (ISA) II José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-18 José Costa (DEI/IST) Conjunto de Instruções

Leia mais

Introdução à arquitetura do hardware

Introdução à arquitetura do hardware Introdução à arquitetura do hardware Descodificação de endereços Núcleo do processador Microprogramação Arquitetura de Computadores Introdução à arquitetura do hardware 1 Espaço de endereçamento (com 16

Leia mais

Arquitectura de Computadores 3º TESTE

Arquitectura de Computadores 3º TESTE Arquitectura de Computadores 3º TESTE Ano Lectivo: 2008/2009 Data: 6 de Janeiro de 2008 INFORMAÇÕES GERAIS Duração: 2h00 1. Mantenha na secretária apenas a sua identificação e uma caneta (azul ou preta).

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 27 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 3º Trabalho de Laboratório Introdução à Microprogramação e ao Processador P3 Objetivo: Pretende-se com este trabalho conferir aos alunos um conjunto de competências relacionadas

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 5 de Fevereiro de 25 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 3 minutos de tolerância. O estudante

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Ministério da Educação e Ciência U.C. 2 Arquitectura de Computadores 26 de julho de 2013 INSTRUÇÕES O tempo de duração da prova de exame é de 2 horas, acrescida de 30 minutos de tolerância. O estudante

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores Arquitetura de Computadores 3º Trabalho de Laboratório Introdução à Microprogramação e ao Processador P3 Objetivo: Pretende-se com este trabalho conferir aos alunos um conjunto de competências relacionadas

Leia mais

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES

p-fólio Arquitectura de Computadores U.C de julho de 2018 INSTRUÇÕES Ministério da Ciência, Tecnologia e Ensino Superior p-fólio U.C. 21010 Arquitectura de Computadores 26 de julho de 2018 INSTRUÇÕES O tempo de resolução do p-fólio é de uma hora e trinta minutos (90 minutos)..

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

Aula 14: Lógica e circuitos digitais

Aula 14: Lógica e circuitos digitais Aula 14: Lógica e circuitos digitais Circuitos combinacionais circuitos sequenciais Rodrigo Hausen hausen@usp.br 29 de setembro de 2011 http://cuco.pro.br/ach2034 Rodrigo Hausen (hausen@usp.br) Aula 14:

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009

Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 23 de Junho de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Tutorial do P3 Referência 2014 / 2015 INSTITUTO SUPERIOR TÉCNICO Paulo Lopes, José Costa 2014/2015 2 1.

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005

ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005 ANEXO de Arquitectura de Computadores Informação sobre o Processador P3 Abril 2005 POR AVOR: não escreva ou danifique este anexo devolva-o no final do exame Registos O processador P3 contém os seguintes

Leia mais

Aula 14 Funcionamento de Processadores (Visão específica)

Aula 14 Funcionamento de Processadores (Visão específica) Aula 14 Funcionamento de Processadores (Visão específica) Com base nas aulas de Prof. José Delgado (autorizado) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO. Adão de Melo Neto

UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO. Adão de Melo Neto UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO Adão de Melo Neto 1 INTRODUÇÃO O objetivo é mostrar como a unidade de controle controla a execução de cada instrução em ASSEMBLY. Atividades da Unidade de

Leia mais

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 16 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010

Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 12 de Fevereiro de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (B) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Arquitectura de Computadores MEEC (2014/15 2º Sem.)

Arquitectura de Computadores MEEC (2014/15 2º Sem.) Arquitectura de Computadores MEEC (2014/15 2º Sem.) Unidade de Controlo Prof. Nuno Horta PLANEAMENTO Introdução Unidade de Processamento Unidade de Controlo Arquitectura do Conjunto de Instruções Unidade

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Fundamentos (9, 10.1 a 10.3) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Leia mais

Computador Cleópatra

Computador Cleópatra ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES Computador Cleópatra Interface Hardware e Software Alexandre Amory Edson Moreno 2 / 9 Nas Aulas Anteriores Vimos como descrever e implementar circuitos combinacionais

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 17 de Janeiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Sistemas Digitais Palavra de Controle (Control Word)

Sistemas Digitais Palavra de Controle (Control Word) Sistemas Digitais Palavra de Cotrole (Cotrol Word) Referêcia Bibliográfica: Logic ad Computer Desig Fudametals Mao & Kime Adaptações: josé artur quilici-gozalez Sumário Datapaths e Cotrol Word Itrodução

Leia mais

Arquitetura de Computadores Aula 10 - Processadores

Arquitetura de Computadores Aula 10 - Processadores Arquitetura de Computadores Aula 10 - Processadores Prof. Dr. Eng. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/21 TÓPICOS ORGANIZAÇÃO COMPONENTES BÁSICOS INSTRUÇÃO DE MÁQUINA CICLO DE INSTRUÇÃO

Leia mais

ARQUITECTURA DE COMPUTADORES

ARQUITECTURA DE COMPUTADORES ARQUITECTURA DE COMPUTADORES Indique o tipo de prova: 2º TESTE (GRUPOS III, IV e V) 1º EXAME (GRUPOS I, II, III, IV e V) Ano Lectivo: 2011/2012 Data: 9 de Junho de 2012 INFORMAÇÕES GERAIS Duração: 1h30

Leia mais

ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3

ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3 ANEXO ao Exame de Arquitectura de Computadores Informação sobre o Processador P3 POR FAVOR: não escreva ou danifique este anexo devolva-o no final do exame Registos O processador P3 contém os seguintes

Leia mais

Grupo I (5 valores) CD AB

Grupo I (5 valores) CD AB Grupo I (5 valores) Ministério da Ciência, Tecnologia e Ensino Superior 1. [2] Considere o seguinte mapa de Karnaugh da função F(A,B,C,D). Simplifique a função de modo a obter uma soma de produtos, e um

Leia mais

Parte I - Projecto de Sistemas Digitais

Parte I - Projecto de Sistemas Digitais Parte I - Projecto de Sistemas Digitais Na disciplia de sistemas digitais foram estudadas técicas de desevolvimeto de circuitos digitais ao ível da porta lógica, ou seja, os circuito digitais projectados,

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 20: Título: Sumário: Sistema de primária (ciclo de acesso, memória estática, memória dinâmica, planos

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

INSTITUTO SUPERIOR TÉCNICO

INSTITUTO SUPERIOR TÉCNICO INSTITUTO SUPERIOR TÉCNICO Arquitectura de Computadores 1 o Semestre (2011/2012) MEAer Departamento de Engenharia Electrotécnica e de Computadores 2 o Teste - 13 de Janeiro de 2012 Duração: 1h30 + 0h30

Leia mais

Guia de Laboratório 2013 / 2014

Guia de Laboratório 2013 / 2014 LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Guia de Laboratório 2013 / 201 INSTITUTO SUPERIOR TÉCNICO 2 Plano das aulas 1ª Aula: Resolução de Exercícios

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais

Máquina Microprogramada

Máquina Microprogramada Máquina Microprogramada µff Orlando Loques setembro 26 Referências: Structured Computer Organization, A.S. Tanenbaum, (c) 26 Pearson Education Inc Computer Organization and Architecture, W. Stallings,

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009

Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 5 de Fevereiro de 2009 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

Organização Funcional

Organização Funcional Organização Funcional Modelo de Arquitectura de Von Neuman 26 Organização Funcional Modelo de Arquitectura de Von Neuman CPU Unidade Central de processamento (central process unit) Onde tudo se passa ;

Leia mais

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas

Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007. Folha de Respostas Arquitectura de Computadores 2006/2007 2º Semestre 2º Teste (A) - 15/06/2007 Número: Nome: INSTRUÇÕES: - A duração da prova é de 2 horas. - Responda apenas nesta Folha de Respostas ; nada mais será recebido.

Leia mais

Disciplina: Arquitetura de Computadores

Disciplina: Arquitetura de Computadores Disciplina: Arquitetura de Computadores Estrutura e Funcionamento da CPU Prof a. Carla Katarina de Monteiro Marques UERN Introdução Responsável por: Processamento e execução de programas armazenados na

Leia mais

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA AULA 17 - Nível de Transferência entre Registradores RTL. Livro texto, pág.242 a 276 e apostila de fluxo de dados. 1. Introdução:.Continuação projeto RTL. Exemplo 5.2: Medidor de distância baseado em raio

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Memória Cache; Memória Secundária (13.3) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior

Leia mais

MICROPROCESSADORES 3º TESTE

MICROPROCESSADORES 3º TESTE Nº MICROPROCESSADORES 3º TESTE Ano Lectivo: 25/26 Data: 6 de Junho de 26 Ano Curricular: 1º Ano 2º Semestre Duração:2h INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e número antes

Leia mais

Circuitos Sequenciais I

Circuitos Sequenciais I Circuitos Sequenciais I José Costa Introdução à Arquitetura de Computadores epartamento de Engenharia Informática (EI) Instituto Superior Técnico 2013-11-01 José Costa (EI/IST) Circuitos Sequenciais I

Leia mais

Símbolos e abreviaturas utilizadas na descrição das instruções

Símbolos e abreviaturas utilizadas na descrição das instruções Símbolos e abreviaturas utilizadas na descrição das instruções acumulador registo A addr endereço de 16 bits data quantidade de 8 bits data 16 quantidade de 16 bits byte 2 segundo byte da instrução byte

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Hierarquia de Memória; Memória Cache (13.2 e 13.3) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2014/2015 1º Semestre 2º Teste 28 de Janeiro de 2015 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

RESOLUÇÃO. Identifique todas as folhas! Não escreva nada fora dos rectângulos

RESOLUÇÃO. Identifique todas as folhas! Não escreva nada fora dos rectângulos Teste de Arquitectura de Computadores LEIC, LERCI e LEE IST - Taguspark Profs responsáveis: José Delgado e Rui Rocha 2º Semestre 2004/2005 1º teste, turno B 2 de Abril de 2004 RESOLUÇÃO Início: 10H00 FIM:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de ata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula -T. Projeto de istemas Digitais o ível RT. Estudo de caso e

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Unidade de Controle. UC - Introdução

Unidade de Controle. UC - Introdução Unidade de Controle Prof. Alexandre Beletti (Cap. 3 Weber, Cap.8 Monteiro, Cap. 10,11 Stallings) UC - Introdução Para gerenciar o fluxo interno de dados e o instante em que ocorrem as transferências entre

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 08: Título: Sumário: Programação em (programação estruturada, comentários, constantes); Exemplos de

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores Introdução à Arquitetura de Computadores 2013/2014 1º Semestre 2º Teste 1 de Fevereiro de 2014 Duração: 1h30 - O teste é sem consulta, apenas tem disponível o anexo que lhe deverá ter sido entregue com

Leia mais

Introdução à programação em linguagem assembly

Introdução à programação em linguagem assembly Introdução à programação em linguagem assembly Espaço de endereçamento Instruções de acesso à memória Modos de endereçamento Diretivas Tabelas Pilha Rotinas Arquitetura de Computadores Introdução à programação

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA

INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA INSTITUTO SUPERIOR DE ENGENHARIA DE LISBOA Engenharia de Eletrónica e Telecomunicações e de Computadores e Engenharia Informática e de Computadores e Engenharia Informática, Redes e Telecomunicações INSTITUTO

Leia mais

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle William Stallings Organização de computadores digitais Capítulo 14 Operação da Unidade de Controle Microoperações o A execução de um programa em um computador se faz através: o do ciclo de Busca e Execução.

Leia mais

Introdução à Arquitetura de Computadores

Introdução à Arquitetura de Computadores LICENCIATURA EM ENGENHARIA INFORMÁTICA E DE COMPUTADORES Introdução à Arquitetura de Computadores Tutorial do P3 2013 / 2014 INSTITUTO SUPERIOR TÉCNICO 1. Conteúdo 1. Conteúdo... 1 2. Introdução... 2 3.

Leia mais

MICROPROCESSADORES 2º TESTE - A

MICROPROCESSADORES 2º TESTE - A MICROPROCESSADORES 2º TESTE - A Ano Lectivo: 2005/2006 Data: 8 de Maio de 2006 Ano Curricular: 1º Ano 2º Semestre Duração: 2h00 INFORMAÇÕES GERAIS 1. Identifique todas as folhas do enunciado com nome e

Leia mais

Universidade Federal do Rio de Janeiro Bacharelado em Ciência da Computação. Arquitetura de Computadores I. Organização Básica do Computador

Universidade Federal do Rio de Janeiro Bacharelado em Ciência da Computação. Arquitetura de Computadores I. Organização Básica do Computador Universidade Federal do Rio de Janeiro Bacharelado em Ciência da Computação Arquitetura de Computadores I Organização Básica do Computador Gabriel P. Silva Ementa Unidade 2: Organização Lógica e Funcional

Leia mais

Arquitetura de Microprocessadores

Arquitetura de Microprocessadores Arquitetura de Computadores UNIDADE 4 Arquitetura de Microprocessadores Aula nº 13 e 14 1º Período Ano letivo 2017/2018 Lição nº 13 e 14 10-11-2017 Sumário Arquitetura de von Neumann; O CPU BUS Arquitetura

Leia mais

Arquitectura de Computadores (ACom)

Arquitectura de Computadores (ACom) Arquitectura de Computadores (ACom) MEAer Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 22: Título: Sumário: cache; cache por blocos; Política de substituição; Tratamento das operações de

Leia mais

Processador. Processador

Processador. Processador Departamento de Ciência da Computação - UFF Processador Processador Prof. Prof.Marcos MarcosGuerine Guerine mguerine@ic.uff.br mguerine@ic.uff.br 1 Processador Organização básica de um computador: 2 Processador

Leia mais

CONTROLO. 3º ano 2º semestre 2005/2006. Transparências de apoio às aulas teóricas. Capítulo 9 Diagrama de Bode e Relação Tempo-Frequência

CONTROLO. 3º ano 2º semestre 2005/2006. Transparências de apoio às aulas teóricas. Capítulo 9 Diagrama de Bode e Relação Tempo-Frequência RESPOSTA EM FREQUÊNCIA Liceciatura em Egeharia Electrotécica e de Computadores (LEEC Departameto de Egeharia Electrotécica e de Computadores (DEEC CONTROLO 3º ao º semestre 005/006 Trasparêcias de apoio

Leia mais

14/3/2016. Prof. Evandro L. L. Rodrigues

14/3/2016. Prof. Evandro L. L. Rodrigues SEL 433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Prof. Evandro L. L. Rodrigues Tópicos do curso Conceitos básicos - Aplicações e utilizações dos microcontroladores

Leia mais