Circuitos digitais Parte 02 Decodificadores

Tamanho: px
Começar a partir da página:

Download "Circuitos digitais Parte 02 Decodificadores"

Transcrição

1 Circuitos digitais Parte 02 Decodificadores Campus lto Paraopeba 1

2 Parte 02 Decodificadores Decodificadores podem ser entendidos como conversores de binário para decimal. Existe uma entrada binária e uma saída decimal. entrada binária é formada pela quantidade de bits necessária para permitir a representação de todos os valores decimais suportáveis por este decodificador. saída decimal é formada por uma quantidade de bits suficiente para apontar para todos os valores decimais suportados por este decodificador. O nome dos bits de saída precisa receber, obrigatoriamente, um sufixo correspondente ao valor decimal da palavra binária colocada nos bits de entrada. Um decodificador completo possui n bits de entrada e 2^n bits de saída. O decodificador é especificado por n 2^n. Um decodificador incompleto é aquele que sofre a subtração de uma ou mais saídas. Neste caso, as palavras binárias de entrada correspondentes a estas saídas omitidas não poderiam ser utilizadas, pois o decodificador não seria capaz de fazer a decodificação. Como somente uma única combinação dos bits de entrada pode ser inserida em um instante, então somente uma única saída pode ser sinalizada por vez. Não é permitido selecionar zero, duas ou mais saídas. Uma e apenas uma saída é selecionada. Se o decodificador indica o valor decimal por meio de uma saída em zero, temos um decodificador com saída decodificada ativa em nível zero e, neste caso, o nome dos bits de saída precisa começar com /. Se o decodificador indica o valor decimal por meio de uma saída em um, temos um decodificador com saída decodificada ativa em nível um. Para decodificação em nível zero, todas as demais saídas assumem nível um. Para decodificação em nível um, todas as demais saídas assumem nível zero. Para saídas indicadas por nível zero, cada bit corresponde a um maxtermo e a porta lógica utilizada é a OR. Para saídas indicadas por nível um, cada bit corresponde a um mintermo e a porta lógica utilizada é a ND. De maneira geral, a porta lógica empregada é aquela para a qual o valor usado na sinalização é o elemento neutro. 1. Decodificador 1 2 com saídas ativas em nível alto 1 2 ativo em nível alto ativo em nível alto 2. Decodificador 1 2 com saídas ativas em nível baixo / / 1 2 ativo em nível baixo / / ativo em nível baixo / / 2

3 3. Decodificador com saídas ativas em nível alto out2 out3 ativo em nível alto out2 out ativo em nível alto 4. Decodificador com saídas ativas em nível baixo / / / / / /out2 /out3 / ativo em nível baixo / / /out2 /out ativo em nível baixo 3

4 5. Decodificador 3 8 com saídas ativas em nível alto C ativo em nível alto C out2 out3 out4 out5 out6 out ativo em nível alto C 3 8 out2 out3 out4 out5 out6 out7 3 8 ativo em nível alto 4

5 6. Decodificador 3 8 com saídas ativas em nível baixo C ativo em nível baixo C / / /out2 /out3 /out4 /out5 /out6 /out ativo em nível baixo C 3 8 / / /out2 /out3 /out4 /out5 /out6 /out7 3 8 ativo em nível baixo 5

6 7. Decodificador em lógica positiva com chip enable out2 out3 ativo em nível alto out2 out3 0 X X ativo em nível alto 8. Decodificador em lógica negativa com chip enable / / / / / / / /out2 /out3 / ativo em nível baixo / out2 out X X ativo em nível baixo 6

7 9. Decodificador em lógica positiva com output enable OE OE out2 out3 ativo em nível alto OE out2 out3 0 X X X 3S 3S 3S 3S 1 0 X X ativo em nível alto 10. Decodificador em lógica negativa com output enable / /OE / /OE / / /out2 /out3 ativo em nível baixo /OE / out2 out X X X X X 3S 3S 3S 3S ativo em nível baixo 7

8 11. Decodificador 3 8 em lógica negativa com NND C 74LS10 / / / / / / / / 3 8 ativo em nível baixo 12. Decodificador 3 8 em lógica negativa com NOR C 74LS ativo em nível alto 8

9 13. Decodificador 3 8 em lógica positiva com chip enable C 3 8 ativo em nível baixo 14. Decodificador 3 8 em lógica negativa com chip enable C 3 8 ativo em nível alto 9

Circuitos digitais Parte 03 Codificadores

Circuitos digitais Parte 03 Codificadores ircuitos digitais Parte 03 odificadores ampus lto Paraopeba http://www.ufsj.edu.br/fregonezi/sistemas_digitais.php 1 Parte 03 odificadores odificadores podem ser entendidos como conversores de decimal

Leia mais

DECODIFICADORES. Capítulo 5

DECODIFICADORES. Capítulo 5 Capítulo 5 DECODIFICADORES 5.1 Decodificadores... 73 5.1.1 Decodificador 2-para-4, com saídas ativas em alto... 73 5.1.2 Decodificador 2-para-4, com saídas ativas em baixo... 74 5.1.3 Decodificadores 3-para-8...

Leia mais

Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara

Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara Codificadores e Decodificadores Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Decodificadores - Um decodificador binário completo é um módulo que tem n entradas e 2 n saídas; - A cada instante

Leia mais

Sistemas digitais 10/07/17. Contadores Parte 17. Campus Alto Paraopeba

Sistemas digitais 10/07/17. Contadores Parte 17. Campus Alto Paraopeba Contadores Parte 17 Campus Alto Paraopeba 1 Parte 17 Contadores integrados Os contadores integrados são uma opção mais simples para construir contadores, pois não é preciso lidar, diretamente, com os FF

Leia mais

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira

Memórias Parte 1. SEL-0415 Introdução à Organização de Computadores. Aula 4. Prof. Dr. Marcelo Andrade da Costa Vieira Departamento de Engenharia Elétrica - EESC-USP SEL-0415 Introdução à Organização de Computadores Memórias Parte 1 Aula 4 Prof. Dr. Marcelo Andrade da Costa Vieira Memória Semicondutora Elemento Básico

Leia mais

Capítulo 04 : Sistemas Numéricos

Capítulo 04 : Sistemas Numéricos Departamento de Engenharia Elétrica FEIS - UNESP Capítulo 04 : Sistemas Numéricos 1.1 - Representação de Quantidades Numéricas Analógica Digital 1.2 - Sistemas Numéricos 1. 3 1.2 - Sistemas Numéricos 1.2

Leia mais

Circuitos MSI e LSI e suas aplicações

Circuitos MSI e LSI e suas aplicações Circuitos MSI e LSI e suas aplicações ESTV-ESI-Sistemas Digitais-Circuitos MSI e LSI 1/14 De acordo com a classificação dos CI s quanto ao nível de integração, directamente relacionado com o número de

Leia mais

Parte # 5 - Circuitos Combinacionais

Parte # 5 - Circuitos Combinacionais CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 5 - Circuitos Combinacionais 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Os circuitos lógicos dos sistemas digitais

Leia mais

CAPÍTULO 4 CIRCUITOS COMBINACIONAIS

CAPÍTULO 4 CIRCUITOS COMBINACIONAIS CAPÍTULO 4 CIRCUITOS COMBINACIONAIS Soma de produtos e produto de somas Simplificação algébrica Mintermos e maxtermos Simplificação Algébrica Projeto de circuitos lógicos combinacionais Mapas de Karnaugh

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 11 Multiplexadores e Demultiplexadores. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte Multiplexadores e Demultiplexadores Professor Dr. Michael Klug É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante,

Leia mais

1. [1 val] Converta para base 2 o número hexadecimal (base 16) B06E. Justifique.

1. [1 val] Converta para base 2 o número hexadecimal (base 16) B06E. Justifique. Teste Sistemas Digitais - MEEC 8/9. [ val] Converta para base o número hexadecimal (base 6) 6E. Justifique. 6E = {{{{ 6 E Como 6= é uma potência de, a conversão entre base 6 e base pode fazer-se directamente:

Leia mais

Sistemas Digitais. Prof. Me. Victor Machado Alves Ciência da Computação

Sistemas Digitais. Prof. Me. Victor Machado Alves Ciência da Computação Sistemas Digitais Prof. Me. Victor Machado Alves Ciência da Computação victor.alves@urisantiago.br Sistemas de Numeração digital Sistema decimal Duas posições decimais (10²) = 100 números diferentes 10ᴺ

Leia mais

ALU ULA. Operações lógicas bit a bit. Operações lógicas bit a bit 24/02/2015

ALU ULA. Operações lógicas bit a bit. Operações lógicas bit a bit 24/02/2015 LU. DEFIÇÃO. DEODIFIDOR DE FUNÇÃO. FUNÇÕES LÓGIS. FUNÇOES RITMÉTIS DEFIÇÃO DEFIÇÃO UL DEFIÇÃO UL Unidade Lógica e ritmética. LU rithmetic Logic Unit. Realiza operações lógicas e aritméticas. Está presente

Leia mais

Sistemas Digitais Apresentação

Sistemas Digitais Apresentação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Apresentação Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel A. Furtado Aulas Teóricas e

Leia mais

Lista de Materiais. Laboratório P111 BC Resistor ¼ W

Lista de Materiais. Laboratório P111 BC Resistor ¼ W Lista de Materiais Material Material equivalente CMOS Quantidade (máxima por bancada por experiência) Laboratório P111 C547 04 Resistor ¼ W 04 5,6k Resistor ¼ W 02 470 Resistor ¼ W 04 47k Resistor ¼ W

Leia mais

Parte # 1 - Circuitos Combinatórios

Parte # 1 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 1 - Circuitos Combinatórios Prof. Alessandro Jacoud Peixoto 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de

Leia mais

Revisão: técnicas simplificação

Revisão: técnicas simplificação 23/3/27 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 4 Códigos, Decodificadores e Codificadores

Leia mais

Teste 1 Sistemas Digitais - MEEC 2009/10 1

Teste 1 Sistemas Digitais - MEEC 2009/10 1 Teste Sistemas Digitais - MEEC 9/. a) [ val] Converta para base o número hexadecimal (base 6) 86. Justifique. b) [ val] Converta para base o número binário. Justifique. {{{{ 8 6 6 8 = 58. [ val] Considere

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 8 Multiplexadores e Demultiplexadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 8 Multiplexadores e Demultiplexadores Prof.: Michael É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante, para transferi-lo

Leia mais

ELETRÔNICA DIGITAL. Parte 5 Circuitos Combinacionais. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 5 Circuitos Combinacionais. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 5 Circuitos Combinacionais Professor Dr. Michael Klug 1 2 Qualquer circuito lógico, não importando a sua complexidade, pode ser descrito usando as três operações booleanas básicas

Leia mais

Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara

Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara Multiplexadores e Demultiplexadores Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Multiplexadores 2 Multiplexadores 3 Multiplexadores 4 Multiplexadores 4 entradas de dados I0, I1, I2, I3 2

Leia mais

Latch SR (Set/Reset)

Latch SR (Set/Reset) Memória Nível da Lógica Digital (Aula 8) Memória Nível Lógico A memória é usada para armazenar tanto instruções a serem executadas quanto os dados usados na execução de algumas dessas instruções Para se

Leia mais

OHMÍMETRO DIGITAL. 1 O Projeto. 1.1 Sensor. 1.2 Conversor A/D

OHMÍMETRO DIGITAL. 1 O Projeto. 1.1 Sensor. 1.2 Conversor A/D Universidade Federal do Rio Grande do Norte Departamento de Engenharia Elétrica Disciplina: Instrumentação Eletrônica Professor: Luciano Fontes Cavalcanti Aluno: Raphael Dantas Ciríaco OHMÍMETRO DIGITAL

Leia mais

Curso FFI Microprocessadores I

Curso FFI Microprocessadores I Curso FFI0396 - Microprocessadores I 2010 Lista 1 (10/08/2010) 1. Calcule as seguintes conversões: 943 10 em base 2, 8 e 16, 11001111 2 em base 8, 10 e 16, 7642 8 em base 2 e 10, D57A4 16 em base 2 e 10.

Leia mais

Organização de Computadores Prof. Alex Vidigal Bastos

Organização de Computadores Prof. Alex Vidigal Bastos Organização de Computadores Prof. Alex Vidigal Bastos PRINCÍPIOS DE OPERAÇÃO DE MEMÓRIA Capacidade: Modo de especificar quantos bits são armazenados em determinado dispositivo ou sistema completo da memória.

Leia mais

Circuitos Digitais. Conteúdo. Soma de Números Binários. Soma de Números Binários. Exemplos. Exemplos. Aritmética Binária

Circuitos Digitais. Conteúdo. Soma de Números Binários. Soma de Números Binários. Exemplos. Exemplos. Aritmética Binária Ciência da Computação Aritmética Binária Prof. Sergio Ribeiro Material adaptado das aulas de Sistemas Digitais do Prof. Dr. Marcelo Andrade da USP Conteúdo Soma de números binários. Soma de números BCD.

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática

Álgebra Booleana. UNIVERSIDADE DA BEIRA INTERIOR Faculdade de Engenharia Departamento de Informática Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Álgebra Booleana Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Nas primeiras

Leia mais

Capítulo 09: Mintermos, Maxtermos e Mapa de Karnaugh

Capítulo 09: Mintermos, Maxtermos e Mapa de Karnaugh ELE 0316 / ELE 0937 Eletrônica Básica Departamento de Engenharia Elétrica FEIS - UNESP Capítulo 09: Mintermos, Maxtermos e Mapa de Karnaugh 1. 1 9.1 - Mintermo / Maxtermo São duas formas padrões para expressar

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Ementa à Sistemas de numeração. à Funções

Leia mais

CIRCUITOS ARITMÉTICOS (Unidade 4)

CIRCUITOS ARITMÉTICOS (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Operações com vetores

Operações com vetores Sistemas Digitais GRECO/25 Operações com vetores Possíveis formas de Operação binárias Oper. Oper. 2 Resultado Comentário Escalar Escalar Escalar Operação padrão Escalar vetor Escalar Não existe Vetor

Leia mais

Exemplo somador de 3 bits

Exemplo somador de 3 bits Exemplo somador de 3 bits 3 números de 1 bit Ci i i Full adder Si Ci1 LS número de 2 bits (pode ser 0, 1, 2 ou 3) MS Escrever uma expressão booleana para as funções Si(Ci,i,i) e Ci1(Ci, i, i) Desenhar

Leia mais

Decodificadores e Registradores

Decodificadores e Registradores epartamento de Engenharia Elétrica e de Computação - EESC-USP SEL-415 Introdução à Organização dos Computadores ecodificadores e Registradores Aula 3 Prof. r. Marcelo Andrade da Costa Vieira Profa. Luiza

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Combinacionais (Parte

Leia mais

Tabela 1 - Minitermos e Maxtermos para uma função de 3 variáveis.

Tabela 1 - Minitermos e Maxtermos para uma função de 3 variáveis. Curso Técnico em Eletrotécnica Disciplina: Automação Predial e Industrial Professor: Ronimack Trajano 1 FORMAS CANÔNICAS A lógica estruturada é baseada na capacidade de escrever equações booleanas de maneira

Leia mais

Organização de Computadores μarquitetura. Na Aula Anterior... Introdução. Nesta Aula. MIPS-Monociclo. Formas de Organização 17/10/2016

Organização de Computadores μarquitetura. Na Aula Anterior... Introdução. Nesta Aula. MIPS-Monociclo. Formas de Organização 17/10/2016 GBC06 Arq. e Org. de Computadores I 17/10/2016 Organização de Computadores μarquitetura Universidade Federal de Uberlândia Faculdade de Computação Prof. Dr. rer. nat. Daniel D. Abdala Na Aula Anterior...

Leia mais

21/07/2010. Multiplexador Definição ELETRÔNICA DIGITAL. Multiplexador Circuito Básico

21/07/2010. Multiplexador Definição ELETRÔNICA DIGITAL. Multiplexador Circuito Básico 2/7/2 Multiplexador Definição ELETRÔNIC DIGITL Parte 8 Multiplexadores e Demultiplexadores É um circuito lógico que recebe diversos dados digitais de entrada e seleciona um deles, em um determinado instante,

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite,

Leia mais

EXPERIÊNCIA 6 CIRCUITOS CODIFICADORES E DECODIFICADORES

EXPERIÊNCIA 6 CIRCUITOS CODIFICADORES E DECODIFICADORES MEC UTFPR-CT DAELT CURSO: ENGENHARIA INDUSTRIAL ELÉTRICA DISCIPLINA: ELETRÔNICA DIGITAL PROF.: EXPERIÊNCIA 6 CIRCUITOS CODIFICADORES E DECODIFICADORES 1 DATA REALIZAÇÃO: DATA ENTREGA: ALUNOS: e e Planejamento:

Leia mais

SSC512 Elementos de Lógica Digital. Mux / Demux. GE4 Bio

SSC512 Elementos de Lógica Digital. Mux / Demux. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Mux / Demux GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos Prof.Dr.

Leia mais

Eletrônica Digital I TE050. Circuitos Combinacionais

Eletrônica Digital I TE050. Circuitos Combinacionais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE5 Circuitos Combinacionais Prof. Lúcio Mauro M. Tonon Circuitos Combinacionais Circuitos Combinacionais

Leia mais

Laboratório 1 (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro

Laboratório 1 (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro Laboratório (Trabalho sem Relatório) Semana 20 de Setembro a 24 de Setembro Verificação de equivalência de representações através de expressões booleanas, tabelas de verdade e esquemáticos. Contacto com

Leia mais

Sistemas Digitais Circuitos Combinatórios Típicos

Sistemas Digitais Circuitos Combinatórios Típicos Sistemas Digitais Circuitos Combinatórios Típicos João Paulo Baptista de Carvalho joao.carvalho@inesc.pt Descodificadores Um descodificador é um circuito combinatório que permite, perante uma combinação

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO EEL 5310 SISTEMAS DIGITAIS Créditos: 5, sendo 3 créditos de teoria e 2 créditos laboratório Número de aulas 90

Leia mais

Apostila de Eletrônica Digital ÍNDICE

Apostila de Eletrônica Digital ÍNDICE Apostila de Eletrônica Digital ÍNDICE 1- Introdução Era Digital---------------------------------------------------------- PG 3 2- Números Binários --------------------------------------------------------------

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

Lab2. Germano Maioli Penello IF-UFRJ aula 9.

Lab2. Germano Maioli Penello IF-UFRJ aula 9. Lab2 aula 9 www.if.ufrj.br/~gpenello/lab2_28-2.html Germano Maioli Penello IF-UFRJ 28-2 Definir projetos ancada 2 (na + Letícia) Medidor de tensão na rede elétrica com log ancada (Felipe + Mateus) Posicionador

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias ELE 1078 - Microprocessadores I AULA 12 Arquitetura do Microprocessador 8085 -Interface com as memórias 12.1 - Estrutura das Memórias Memória de Leitura / Escrita (R / W memory). Grupo de registradores;

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Nível da Lógica Digital

Nível da Lógica Digital Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Circuitos Integrados CIs (chips/pastilhas) Agrupa portas lógicas Pastilhas de silício DIP (Dual Inline Package) Invóculo c/ 2 linhas

Leia mais

CODIFICADOR E DECODIFICADOR (Unidade 4)

CODIFICADOR E DECODIFICADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

UFMG DCC Álgebra de Boole. Slides ligeiramente adaptados daqueles do professor Osvaldo Farhat de Carvalho, DCC, UFMG

UFMG DCC Álgebra de Boole. Slides ligeiramente adaptados daqueles do professor Osvaldo Farhat de Carvalho, DCC, UFMG UFMG DCC001 2013-1 1 Álgebra de Boole Slides ligeiramente adaptados daqueles do professor Osvaldo Farhat de Carvalho, DCC, UFMG UFMG DCC001 2013-1 2 Bits e informação Representamos números, caracteres,

Leia mais

Universidade Federal de Uberlândia Faculdade de Computação

Universidade Federal de Uberlândia Faculdade de Computação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 7 Introdução aos Circuitos Codificadores e Decodificadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Aula 9. Aritmética Binária. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 9. Aritmética Binária. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 9 Aritmética Binária SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira . SOMA DE DOIS NÚMEROS BINÁRIOS Álgebra Booleana (OR) Aritmética (+) 0 + 0 = 0 0 + = + 0 = + = 0 + 0 = 0

Leia mais

PCS3515 Sistemas Digitais. Blocos Básicos

PCS3515 Sistemas Digitais. Blocos Básicos PCS355 Sistemas Digitais Blocos Básicos - Tri-State e Multiplexadores - Seções 6.6 e 6.7 livro texto Com apoio do material dos demais professores 208/ Tri State Compartilhamento de uma via Timing para

Leia mais

ELETRÔNICA DIGITAL. Parte 10 LEDs, Displays, Decodificadores e Codificadores. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 10 LEDs, Displays, Decodificadores e Codificadores. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 1 LEDs, Displays, Decodificadores e Codificadores Professor Dr. Michael Klug 1 LED (Light EmittingDiode) Diodo emissor de luz (LED) Junção P-N que quando energizada emite luz visível

Leia mais

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1)

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1) Circuitos Integrados Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 CIs (chips/pastilhas) Agrupa portas

Leia mais

Sistemas Digitais Módulo 2 Representações com Sinal e Aritmética Digital

Sistemas Digitais Módulo 2 Representações com Sinal e Aritmética Digital Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 2 Representações com Sinal e Aritmética Digital Graduação em Sistemas de Informação Prof. Dr. Daniel A. Furtado Prof.

Leia mais

Teste 1 Sistemas Digitais - MEEC 2011/12 1

Teste 1 Sistemas Digitais - MEEC 2011/12 1 Teste Sistemas Digitais - MEEC /. [ val] Converta para base o número 7. Utilize o resultado obtido para converter o número para base 6. Justifique. Teste Sistemas Digitais - MEEC /. [ val] Considere a

Leia mais

Binários: Operações matemáticas

Binários: Operações matemáticas Soma Subtração Multiplicação Divisão Eng. da Computação Eng. de Controle e Automação Binários: awmascarenhas@gmail.com https://sites.google.com/site/awmascarenhas Conteúdo : 1 Adição 1.1 Regras básicas

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Lógica Digital e Álgebra Booleana

Lógica Digital e Álgebra Booleana Roteiro Lógica Digital e Álgebra ooleana Sistemas Lógicos 27/ Leandro Galvão D/UFM www.dcc.ufam.edu.br/~dcc_sl galvao@dcc.ufam.edu.br Portas LógicasL hips Digitais ásicos Álgebra ooleana Operação lógica

Leia mais

LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA. Nome dos alunos

LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA. Nome dos alunos LABORATÓRIO I CONCEPÇÃO DE UM CIRCUITO COMPARADOR SIMPLES USANDO LÓGICA COMBINATÓRIA Nome dos alunos Data do laboratório Turno de laboratório (dia da semana e sala) INTRODUÇÃO O objectivo deste trabalho

Leia mais

Circuitos Digitais Primeira Lista de Exercícios

Circuitos Digitais Primeira Lista de Exercícios Circuitos Digitais Primeira Lista de Exercícios Observação: o início da lista é composto dos exercícios recomendados do livro-texto. Os exercícios nas últimas duas páginas da lista são novos (não estão

Leia mais

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS

Escola Politécnica de Pernambuco Departamento de Engenharia Elétrica PROGRAMA EMENTA OBJETIVOS PROGRAMA Disciplina: ELETRÔNICA DIGITAL Código: ELET0037 Carga Horária Semestral: 60 HORAS Obrigatória: sim Eletiva: Número de Créditos: TEÓRICOS: 04; PRÁTICOS: 00; TOTAL: 04 Pré-Requisito: ELET0033 ELETRONICA

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Módulo 3 Circuitos Combinatórios

Módulo 3 Circuitos Combinatórios 1 Sistemas Digitais e Arquitetura de Computadores Módulo 3 Circuitos Combinatórios 1. Visão geral 2017/2018 2 Introdução A evolução das portas lógicas para a constituição dos circuitos digitais combinatórios

Leia mais

Unidade de Processamento Baseado em Pilha Fundamentos de sistemas digitais

Unidade de Processamento Baseado em Pilha Fundamentos de sistemas digitais Unidade de Processamento Baseado em Pilha Fundamentos de sistemas digitais 2016.2 O presente trabalho tem por objetivo explorar os conceitos apresentados em sala de aula ao longo do semestre. Para tanto,

Leia mais

Álgebra de Boole. Álgebra de Boole - axiomas

Álgebra de Boole. Álgebra de Boole - axiomas 854 - George Boole Álgebra de Boole formular proposições como V ou F combinar proposições avaliar a sua veracidade ou falsidade 938 - (Bell Labs) Claude Shannon adaptou a álgebra de Boole à análise de

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

SSC512 Elementos de Lógica Digital. Circuitos Aritméticos. GE4 Bio

SSC512 Elementos de Lógica Digital. Circuitos Aritméticos. GE4 Bio Universidade de São Paulo Instituto de Ciências Matemáticas e de Computação Departamento de Sistemas de Computação Elementos de Circuitos Aritméticos GE4 Bio GE4Bio Grupo de Estudos em Sinais Biológicos

Leia mais

Eletrônica Digital. Funções lógicas, álgebra de boole e circuitos lógicos combinacionais básicos. Professor: Francisco Ary

Eletrônica Digital. Funções lógicas, álgebra de boole e circuitos lógicos combinacionais básicos. Professor: Francisco Ary Eletrônica Digital Funções lógicas, álgebra de boole e circuitos lógicos combinacionais básicos Professor: Francisco Ary Introdução Vimos na aula anterior conversão de números binário fracionários em decimal;

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Arquitetura de Computadores Aula 9 Portas Lógicas

Arquitetura de Computadores Aula 9 Portas Lógicas Arquitetura de Computadores Aula 9 Portas Lógicas Prof. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/18 * Definição * Tipos de portas lógicas (operadores) * Aplicações - porta de transferência

Leia mais

Portas lógicas Arquitetura e Organização de Computadores Curso de Análise e Desenvolvimento de Sistemas

Portas lógicas Arquitetura e Organização de Computadores Curso de Análise e Desenvolvimento de Sistemas Portas lógicas Arquitetura e Organização de Computadores Curso de Análise e Desenvolvimento de Sistemas 1 Componentes Álgebra dos de computadores Boole Vimos anteriormente que os números binários não representam

Leia mais

ÁLGEBRA BOOLEANA E LÓGICA DIGITAL AULA 04 Arquitetura de Computadores Gil Eduardo de Andrade

ÁLGEBRA BOOLEANA E LÓGICA DIGITAL AULA 04 Arquitetura de Computadores Gil Eduardo de Andrade ÁLGEBRA BOOLEANA E LÓGICA DIGITAL AULA 04 Arquitetura de Computadores Gil Eduardo de Andrade O conteúdo deste documento é baseado no livro Princípios Básicos de Arquitetura e Organização de Computadores

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

Projeto de Lógica Combinatória

Projeto de Lógica Combinatória Projeto de Lógica Combinatória S. W. Song MAC 412 - Organização de Computadores Lógica combinatória Esse assunto já foi visto em Álgebra Booleana. Aqui vamos apenas tratar de alguns tópicos específicos.

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

Relatório de Prática no LABORATORIO

Relatório de Prática no LABORATORIO Cod. Disc: TURMA: GRUPO: NOME: Sistemas Digitais Relatório de Prática no LABORATORIO Aula 09 3ª Etapa: Projeto Prático Correção Código BCD e Sinal ANEXO: Teste de Simulação Soma e Subtração PROF. MSc.

Leia mais

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Eletrônica Digital Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br Circuitos Combinacionais A saída de um circuito combinacional

Leia mais

IF-UFRJ FIW 362 Laboratório de Física Moderna Eletrônica Curso de Licenciatura em Física Prof. Antonio Carlos

IF-UFRJ FIW 362 Laboratório de Física Moderna Eletrônica Curso de Licenciatura em Física Prof. Antonio Carlos IF-UFRJ FIW 362 Laboratório de Física Moderna Eletrônica Curso de Licenciatura em Física Prof. ntonio Carlos ula 8: istemas de numeração e portas lógicas Este material foi baseado em livros e manuais existentes

Leia mais

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18

MEMÓRIAS. Sistemas Digitais II Prof. Marcelo Wendling Nov/18 MEMÓRIAS Sistemas Digitais II Prof. Marcelo Wendling Nov/18 1 Definições São blocos que armazenam informações codificadas digitalmente. A localização de uma unidade de dado num arranjo de memória é denominada

Leia mais

CAPÍTULO VI DECODIFICAÇÃO DE I/O E MEMÓRIA

CAPÍTULO VI DECODIFICAÇÃO DE I/O E MEMÓRIA Decodificação de I/O e Memória Cap.6: /0 CAPÍTULO VI DECODIFICAÇÃO DE I/O E MEMÓRIA afirmava-se que memória era todo dispositivo acessado através dos sinais *(S)MEMR ou *(S)MEMW e que I/O era todo dispositivo

Leia mais

Aula 1. Sistemas Analógicos vs Sistemas Digitais

Aula 1. Sistemas Analógicos vs Sistemas Digitais ula 1 istemas nalógicos vs istemas Digitais Definições istema: conjunto de blocos (dispositivos e/ou componentes )interligados que desempenham uma função complexa. istema digital: constituído por vários

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 0 Revisão Professor Michael Analógico x Digital 2 Circuitos Lógicos Os circuitos lógicos podem ser classificados em dois tipos: Circuitos Combinacionais: As saídas em qualquer

Leia mais