Lógica Reconfigurável

Tamanho: px
Começar a partir da página:

Download "Lógica Reconfigurável"

Transcrição

1 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br paginapessoal.utfpr.edu.br/amauriassef 1

2 1. Linguagem VHDL Code Structure Library: Declaração das bibliotecas necessárias no projeto std e work são incluídas por definição Package: Declaração da biblioteca e informações sobre os modelos VHDL LIBRARY ieee; USE ieee.std_logic_1164.all; -- std_logic e funções relacionadas USE ieee.numeric_std.all; -- funções com ou sem sinal em std_logic USE ieee.std_logic_arith.all; -- funções aritméticas (não usar com numeric_std) USE ieee.std_logic_signed.all; -- funções aritméticas com sinal USE ieee.std_logic_unsigned.all; -- funções aritméticas sem sinal (overload) Library Package Todas as funções 2

3 Entity: Declaração das interfaces do projeto (pinos de I/O) ENTITY <entity_name> IS -- mesmo nome do código.vhd GENERIC (...); -- pode definir uma constante genérica (optativo) PORT ( port_name: signal_mode signal_type; port_name: signal_mode signal_type;...); -- pinos de I/O [BEGIN] [declarative part] END <entity_name > Signal mode: IN, OUT, INOUT e BUFFER Signal type: BIT, BIT_VECTOR, INTEGER, STD_LOGIC, STD_LOGIC_VECTOR, BOOLEAN, etc. Declarative part: SIGNAL, CONSTANT, FUNCTION, PROCEDURE, TYPE, etc. (not COMPONENT) 3

4 Architecture: Define o código VHDL ARCHITECTURE <architecture_name> OF <entity_name> IS [declarative_part] BEGIN [code] END < architecture_name >; Declarative part: SIGNAL, CONSTANT, FUNCTION, PROCEDURE, TYPE, etc. Code: concorrente (paralelo) ou sequencial 4

5 Exemplo: XOR (Ou-exclusiva) LIBRARY ieee; -- LIBRARY USE ieee.std_logic_1164.all; ENTITY xor_vhdl IS -- ENTITY GENERIC (N: INTEGER :=8); PORT ( a,b: IN STD_LOGIC; s: OUT STD_LOGIC); END xor_vhdl; ARCHITECTURE behavior OF xor_vhdl IS -- ARCHITECTURE BEGIN s <= a XOR b; END behavior; 5

6 Exemplo: Multiplexador 4x8 library ieee; -- LIBRARY use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity aula2 is -- ENTITY port (a,b,c,d : in std_logic_vector(7 downto 0); sel : in integer range 0 to 3; -- mais simples que o SLV y : out std_logic_vector(7 downto 0)); end aula2; architecture rtl of aula2 is -- ARCHITECTURE begin y <= a when sel=0 else b when sel=1 else c when sel=2 else d; end rtl; 6

7 2. Tipos de dados sintetizáveis STD_LOGIC e STD_LOGIC_VECTOR Usado para valores lógicos Valor Descrição U Não inicializado X Desconhecido / Não importa para síntese 0 Lógica 0 1 Lógica 1 Z Tristate / Alta impedância W Fraco desconhecido L Pull-down weak 0 H Pull-up weak 1 - Não importa 7

8 3. Tipos de dados pré-definidos Tipos de dados pré-definidos Library/Package Valores sintetizáveis BIT, BIT_VECTOR std/standard 0, 1 BOOLEAN std/standard TRUE, FALSE INTEGER std/standard -(2 31-1) a +(2 31-1) NATURAL std/standard 0 a (2 31-1) POSITIVE std/standard 1 a (2 31-1) CHARACTER std/standard 256 símbolos ASCII STRING std/standard Utilizado para redução de síntese REAL std/standard 1.0E308 a 1.0E308 (ponto flut.) STD_ULOGIC, STD_ULOGIC_VECTOR, STD_LOGIC, STD_LOGIC_VECTOR UNSIGNED, SIGNED ieee/std_logic_1164 ieee/numeric_std ieee/std_logic_arith Input: 0, 1, L H Output: 0, 1, L, H, Z, -, X Mesmo que o STD_LOGIC 8

9 Exemplo de tipos de dados comuns em VHDL Tipo de dados Declaração (Amostra) Valores sintetizáveis BIT y: OUT BIT 0, 1 STD_LOGIC driver: STD_LOGIC 0, 1, Z, X, - BIT_VECTOR bcd_data: BIT_VECTOR (3 DOWNTO 0) 0101, 1001, STD_LOGIC_VECTOR bus: STD_LOGIC_VECTOR (7 DOWNTO 0) Z1X INTEGER SIGNAL z: INTEGER RANGE -32 TO 31-32, -16, 15, 31 Tipo de dados Uso BIT Y <= 0 ; STD_LOGIC BIT_VECTOR STD_LOGIC_VECTOR INTEGER Driver <= Z ; Saida <=bcd_data IF rd = 0 THEN bus <= 100Z ; IF z > 5 THEN 9

10 Números sinalizados 10

11 Intervalos: determina um intervalo de utilização de um determinado tipo RANGE <valor_menor> TO <valor_maior> RANGE <valor_maior> DOWNTO <valor_menor> Exemplo STD_LOGIC: sinal teste_sl: STD_LOGIC; sinal teste_sl: STD_LOGIC := 0 ; 1-bit: ( ) Multi-bit: ( ) Exemplo STD_LOGIC _VECTOR: signal teste_slv : STD_LOGIC_VECTOR(0 TO 3); signal teste_slv : STD_LOGIC_VECTOR(3 DOWNTO 0) := 1001 ; Exemplo INTEGER signal teste_integer : INTEGER := 255; signal teste_integer: INTEGER RANGE 0 TO 255; 11

12 4. Libraries/Packages Library/Package std/standard ieee/std_logic_1164 ieee/numeric_std ieee/std_logic_arith ieee/std_logic_signed ieee/std_logic_unsigned Tipos de dado BOOLEAN, BIT, BIT_VECTOR, INTEGER, NATURAL, POSITIVE, REAL, TIME, etc. STD_ULOGIC e STD_LOGIC SIGNED e UNSIGNED com STD_LOGIC como base SIGNED e UNSIGNED com STD_LOGIC como base Aritmético, comparação, e alguns operadores de deslocamento para STD_LOGIC_VECTOR quando SIGNED Similar ao anterior para UNSIGNED 12

13 5. Tipos de dados definidos pelo usuário Integer-based user-defined types: Subset of INTEGER Exemplo: TYPE input_range IS RANGE -128 TO 128; signal a : input_range; Enumerated user-defined types: Utilizado em projetos de máquina de estados finita (FSM) Exemplo: TYPE machine_state IS (idlle, forward, backward); signal state_1: idle; Array-based user-define types: Utilizar a palavra ARRAY Exemplo de matriz (array) 1D: TYPE vector IS ARRAY (15 DOWNTO 0) OF STD_LOGIC; signal a, b, c : vector := ; a(5 DOWNTO 1) <= 010Z0 ; b(0) <= 1 ; c <= (others => 0 ); 13

14 Exemplo matriz 1D x 1D: TYPE matriz1 IS ARRAY (1 TO 3) OF BIT_VECTOR(7 DOWNTO 0); SIGNAL a, b, c: matriz1; a(3)(7) <= 1 ; b (1)(2 DOWNTO 0) <= 1101 ; c <= (OTHERS => 0, OTHERS => 0, OTHERS => 0 ) ; Obs: (linha)(coluna) Exemplo matriz 2D: TYPE matriz2 IS ARRAY (1 TO 3, 7 DOWNTO 0) OF BIT; SIGNAL a, b, c: matriz2; a(3)(7) <= 1 ; b (1)(3 DOWNTO 0) <= 1101 ; c <= (OTHERS => 0, OTHERS => 0, OTHERS => 0 ) 14

15 6. Operadores VHDL Concatenação: &,,, OTHERS=> Exemplo: k: CONSTANTE BIT_VECTOR(1 TO 4) := 1100 ; x <= ( Z, K(2 TO 3), ); -- resultado: x <= Z y <= Z & K(2 TO 3) & ; -- resultado: y <= Z Operadores de atribuição: <= para sinais := para variáveis ou valores iniciais 15

16 Tipo da operação Lógica Operador VHDL NOT, AND, NAND, NOR, XOR, XNOR (NOT has precedence) Support: BOOLEAN, BIT, BIT_VECTOR, STD_(U)LOGIC, STD_LOGIC_(U)VECTOR, (UN)SIGNED(*) *Depends on the package Comparação =, /=, >, >=, <, <= Support: BOOLEAN, BIT, BIT_VECTOR, INTEGER, NATURAL, POSITIVE, SIGNED, UNSIGNED, CHARACTER, STRING Deslocamento (Shift) Aritmético SLL, SRL, SLA, SRA, ROL, ROR (não são standard) Lógico completa com zero; Aritmético repete o último Usar & para operações de deslocamento. Exemplo: y <= x(6 DOWNTO 0) & 1 ; +, -, *, /, ABS, **, MOD, REM Support: INTEGER, NATURAL, POSITIVE, SIGNED, UNSIGNED ABS valor absoluto, ** - expoente, MOD módulo e REM resto da divisão 16

17 Obs: Utilizar parênteses entre operadores não associados Legal z <= a AND b AND c; z <= (a AND b) OR c; z <= not a AND b; z <= (not a) AND b; -- not precede Ilegal z <= a AND b OR C; z <= a NAND b NAND c; z <= a NOR b NOR c; 17

18 Exercício 1 Projetar o seguinte circuito utilizando VHDL: S <=? Verificar através do RTL Viewer 18

19 7. Atributos EVENT-RELATED: Utilizado para monitorar mudanças nos sinais clk EVENT borda de clock (mais comum) If (res = 1 ) then elsif (clk EVENT and clk= 1 ) then end if; GENERIC Especifica uma constante genérica Utilizar na ENTITY, antes do PORT, exemplo: ENTITY teste IS GENERIC (n: INTEGER := 16; BUS_WIDTH: NATURAL := 8; ESTADO: STD_LOGIC_VECTOR := " "); PORT (...) END teste; 19

20 Exercício 2 Projetar o multiplexador 4xN utilizando operadores VHDL e GENERIC: A B C D N sel 2 N S entity ex2 is port ( ); end ex2; architecture rtl of ex2 is begin S <= A when sel= else B when sel= else C when sel= else D; end rtl; 20

21 8. Objetos (SIGNAL, VARIABLE, CONSTANT) CONSTANT nome_constante: tipo_constante := valor_constante; VARIABLE nome_variável: tipo_variável [range] [:= valor_inicial]; SIGNAL nome_sinal: tipo_sinal [range] [:= valor_inicial]; SIGNAL : representam as interconexões físicas (fios e barramentos) de comunicação entre os processos Podem ser utilizados para a comunicação entre processos Podem aparecer em listas de sensibilidade de um processo Podem ter atrasos 21

22 VARIABLE: são as variáveis utilizadas dentro dos processos Podem ser utilizadas apenas dentro do processo que foram declaradas Não podem aparecer em listas de sensibilidade Não podem ter atrasos CONSTANT: são valores que não se alteram e que são rotulados para facilitar a programação Exemplo: CONSTANTE mask: STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => 1 ); SIGNAL resultado_temp: INTEGER RANGE 0 TO 255; VARIABLE leds_temp: STD_LOGIC_VECTOR (0 TO 7) := X FF ; 22

23 Diferença entre SIGNAL e VARIABLE signal a,b : std_logic_vector(0 to 4); process (CLK) begin if (rising_edge(clk)) then a <= '10111'; b <= a; end if; end process; Neste código, b irá receber o valor de a ao mesmo tempo que a recebe Com isso, b não irá receber signal a,b : std_logic_vector(0 to 4); process (CLK) variable var : std_logic_vector(0 to 4); begin if (rising_edge(clk)) then var := '10111'; a <= var; b <= var; end if; end process; Neste código, o valor será carregado em a e b. 23

24 Exemplo do SIGNAL como interconexão (dentro da ARCHITECTURE): ENTITY comb IS GENERIC (K: INTEGER :=16); PORT ( a,b,c,d: IN STD_LOGIC; s: OUT STD_LOGIC); END comb; ARCHITECTURE behavior OF comb IS SIGNAL s1,s2,s3,s4: STD_LOGIC; BEGIN s1 <= a OR b; s2 <= c XOR d; s3 <= NOT s2; s4 <= s1 AND s3; s <= s4; END behavior; Códigos Concorrentes (não importa a ordem) 24

25 9. Códigos Concorrentes (WHEN, GENERATE) Os códigos paralelos em geral implementam circuitos lógicos combinacionais, onde a saída do circuito depende apenas da entrada atual Não importa a ordem em que os sinais são escritos, eles podem ser executados ao mesmo tempo (códigos concorrentes ou paralelos) WHEN-ELSE atribuição WHEN condição WHEN condição...; WITH-SELECT-WHEN WITH identificador SELECT atribuição WHEN valor, WHEN valor,...; ELSE ELSE Exemplo x <= a WHEN sel = 0 ELSE b WHEN sel = 1 ELSE c; Exemplo WITH sel SELECT x <= a WHEN 0, b WHEN 1, c WHEN OTHERS; 25

26 GENERATE label: FOR ident IN range GENETATE [declarações] BEGIN (atribuições concorrentes) END GENERATE [label] Exemplo Teste: FOR i IN 0 TO M GENERATE b(i) <= a(m-i); END GENERATE Teste 26

27 Exemplo Multiplexador 4x8 (4 entradas e 8 bits) Com WHEN simples: A B C D sel 2 8 S LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY mux4 IS PORT (A,B,C,D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); sel: IN INTEGER RANGE 0 TO 3; S: OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END mux4; ARCHITECTURE behavior OF mux4 IS BEGIN y <= a WHEN sel=0 ELSE b WHEN sel=1 ELSE c WHEN sel=2 ELSE d ; END behavior; 27

28 Exercício Criar um multiplexador 4xN (GENERIC) Com WHEN selecionado: A B C D N sel 2 N S LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY mux1 IS GENERIC(); PORT (); END mux1; WITH sel SELECT x <= a WHEN 0, b WHEN 1, c WHEN OTHERS; ARCHITECTURE behavior OF mux1 IS BEGIN S <= END behavior; 28

29 Exercício Criar um decodificador 3x8 Com WHEN selecionado: ENTRADA A B C SAÍDA O0 O1 O2 O3 O4 O5 O6 O7 WITH sel SELECT x <= a WHEN 0, b WHEN 1, c WHEN OTHERS; C B A

30 Tabela 1 Dispositivos FPGA da série DE. Nome da placa DE DE0-CV DE0-Nano DE0-Nano-SoC DE1-SoC DE10-Lite DE5a-NET DE2-115 Dispositivo FPGA Cyclone V 5CEBA4F23C7 Cyclone IV E EP4CE22F17C6 Cyclone V SoC 5CSEMA4U23C6 Cyclone V SoC 5CSEMA5F31C6 Max 10 10M50DAF484C7G Arria 10 10AX115N3F45E2SG Cyclone IV EP4CE115F29C7 30

31 Tabela 2 Atribuição da pinagem da série DE0-Nano. Componente DE0-Nano KEY[0] PIN_J15 KEY[1] PIN_E1 DIP Switch[0] PIN_M1 DIP Switch[1] PIN_T8 DIP Switch[2] PIN_B9 DIP Switch[3] PIN_M15 LED[0] PIN_A15 LED[1] PIN_A13 LED[2] PIN_B13 LED[3] PIN_A11 LED[4] PIN_D1 LED[5] PIN_F3 LED[6] PIN_B1 LED[7] PIN_L3 CLOCK 50MHz PIN_R8 31

32 Referências: Notas de aula do professor Volnei A. Pedroni PEDRONI, Volnei A. Circuit design and simulation with VHDL. MIT press, HAMBLEN, James O.; HALL, Tyson S.; FURMAN, Michael D. Rapid prototyping of digital systems: SOPC edition. Springer Science & Business Media, TOCCI, Ronald J.; WIDMER, Neal S.; MOSS, Gregory L. Sistemas digitais: princípios e aplicações. Prentice Hall,

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Conteúdo. Estrutura do código VHDL; Objetos; Tipos; Universidade Federal do Ceará Engenharia da Computação 2

Conteúdo. Estrutura do código VHDL; Objetos; Tipos; Universidade Federal do Ceará Engenharia da Computação 2 Conteúdo Estrutura do código VHDL; Objetos; Tipos; Engenharia da Computação 2 Engenharia da Computação 3 Armazenamento de código compilado; As bibliotecas são compostas por pacotes; A declaração de um

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 2 - Introdução ao VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 13 de março de 2019 Objetivo

Leia mais

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Prof. Alan Petrônio Pinheiro - 2011 Introdução VHDL é uma linguagem de descrição de hardware Hardware Description

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2 TIPO INTEGER 2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FF3_tipoD_nivel IS PORT(CLK, RST, SET : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END FF3_tipoD_nivel;

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Linguagem VHDL Bibliotecas e Tipos de Dados STD STD_LOGIC_1164 Constantes, Variáveis, Sinais, Arrays, Range Expressões (Operadores) Exemplos

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando VHDL Professor Dr. Michael Klug 1 Comparativo Linguagens Linguagem de Programação x HDL Supondo que cada instrução leve 20ns entre 40 e 60ns para conclusão

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

O QUE É UM SISTEMA? Executa uma função com base em estímulos internos e/ou externos

O QUE É UM SISTEMA? Executa uma função com base em estímulos internos e/ou externos 1 O QUE É UM SISTEMA? hardwared Interligação de módulos que podem ser elementares ou não Executa uma função com base em estímulos internos e/ou externos Descrito de forma Hierarquizada, em camadas, a partir

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital VHDL Prof. Maurício A Dias Laboratório de Lógica Digital Introdução VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. Origem: VHDL é proveniente de VHSIC Hardware Description

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) PACOTES (package) Entidades e Arquiteturas são

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 04/outubro/2018 CIRCUITOS COMBINACIONAIS modelagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 (1) (DE)CODIFICADOR Codificador é um circuito que mapeia um conjunto

Leia mais

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore Demonstração de imagens de auxílio didático VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore ISBN 85-216-1452-7 Editora LTC www.ltceditora.com.br Para imagens de um curso completo consulte:

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Soluções dos Exercícios Ímpares dos Capítulos 19 23

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Soluções dos Exercícios Ímpares dos Capítulos 19 23 Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, 00 Tradução (com revisão, atualização e ampliação) de Digital Electronics and Design with VHDL Elsevier / Morgan Kaufmann, USA, 00 Soluções

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Projeto Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Conteúdo descrição lógica de alto nível (VHDL): componentes da plataforma como memória, contadores,

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores VHDL - VHSIC Hardware Description Language Arquitetura de Computadores Leonardo Augusto Casillo Referências bibliográficas PELLERIN, David. TAYLOR, Douglas. VHDL Made Easy. Prentice-Hall PTR. 1997. SKANHILL,

Leia mais

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2

PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO PRÁTICAS PARA DESENVOLVIMENTO DE PROTÓTIPOS DE CIRCUITOS DIGITAIS COM O KIT EDUCACIONAL DE2 P R O F. S Í L V I O F E R N A N D E S CRONOGRAMA DO CURSO ATIVIDADE

Leia mais

Prof. Luiz Marcelo Chiesse da Silva VHDL. 1.Histórico

Prof. Luiz Marcelo Chiesse da Silva VHDL. 1.Histórico VHDL 1.Histórico A linguagem VHDL deve o seu desenvolvimento à necessidade de uma ferramenta de projeto e documentação padrão para o projeto VHSIC - Very High Speed Integrated Circuit, do Departamento

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Linguagem de Descrição de Hardware Hardware Description Language (HDL) = "Programming"-language

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE DO MINHO

DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE DO MINHO Sistemas Digitais I LESI :: 2º ano VHDL António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: secção 4.7, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais 23/abril/2019 Introdução à Linguagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar

Leia mais

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) Fundamentos de Sistemas Digitais 3/outubro/28 CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) profs. Alexandre M. Amory e Fernando G. Moraes Máquina de estados finita (FSM) Uma máquina de

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

UNIVERSIDADE FEDERAL DE ITAJUBÁ. Grupo de Microeletrônica TUTORIAL VHDL

UNIVERSIDADE FEDERAL DE ITAJUBÁ. Grupo de Microeletrônica TUTORIAL VHDL UNIVERSIDADE FEDERAL DE ITAJUBÁ Grupo de Microeletrônica TUTORIAL VHDL TUTORIAL VHDL I INTRODUÇÃO Não é possível projetar sistemas digitais sem entender alguns blocos básicos, tais como portas lógicas

Leia mais

arquitetura de computadores

arquitetura de computadores arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 2 Multiplexação, Barramentos, Números: a ULA Multiplexação Um mux é um seletor: ele escolhe uma das entradas

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

PCS3515 Sistemas Digitais. Blocos Básicos

PCS3515 Sistemas Digitais. Blocos Básicos PCS355 Sistemas Digitais Blocos Básicos - Tri-State e Multiplexadores - Seções 6.6 e 6.7 livro texto Com apoio do material dos demais professores 208/ Tri State Compartilhamento de uma via Timing para

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a istemas Digitais Projeto Parte de Controle e Parte Operativa Descrição em linguagem de hardware RTL Implementação ERIAL x PARALELA Parte Operativa Parte de Controle Descrição a nivel de transferencia

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

II Escola Regional de Mato Grosso do Sul - II ERI-MS

II Escola Regional de Mato Grosso do Sul - II ERI-MS II Escola Regional de Mato Grosso do Sul - II ERI-MS Ponta Porã - MS - 24 a 26 de agosto de 2011 Minicurso: Implementação de Circuitos Digitais Utilizando Computação Reconfigurável Ricardo Santos, André

Leia mais

VHDL - Introdução MO801/MC912

VHDL - Introdução MO801/MC912 VHDL - Introdução MO801/MC912 Níveis de Abstração Comportamental: Descrição utilizando construções de alto nível da linguagem RTL: Nível intermediário, inclui mapeamento de portas Gate Level: Nível de

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Fernando Gehm Moraes Matheus Trevisan 20/janeiro/2016 Introdução O objetivo deste trabalho é especificar um módulo que deverá ser implementado

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Objetos em VHDL. * Há quatro tipos de objetos em VHDL: - Constantes - Sinais - Variáveis - Arquivos

Objetos em VHDL. * Há quatro tipos de objetos em VHDL: - Constantes - Sinais - Variáveis - Arquivos VHDL * Objetos de Dados * Tipos de Dados * Tipos e Subtipos * Atributos * Sentenças Concorrentes e Sequenciais * Procedimetos e Funções * Pacotes e Bibliotecas * Generics * Tipos de Atraso Objetos em VHDL

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Uso de banco de registradores e Memorias Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2006 1/ 30 Uso de Memoria em Circuitos Integrados VHDL

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Profa. Luiza Maria Romeiro Codá 2

Profa. Luiza Maria Romeiro Codá 2 Profa. Luiza Maria Romeiro Codá 2 Descrição por Fluxo de Dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas e comandos concorrentes. Descrição Estrutural: Descreve

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Acesso à Memória Externa na Plataforma de Prototipação XS40/XST-1

Laboratório sobre Implementação de Sistemas Digitais com VHDL Acesso à Memória Externa na Plataforma de Prototipação XS40/XST-1 Laboratório de Organização de Computadores 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Acesso à Memória Externa na Plataforma de Prototipação XS40/XST-1 Prática: Implementação de uma

Leia mais