Introdução a Sistemas Digitais

Tamanho: px
Começar a partir da página:

Download "Introdução a Sistemas Digitais"

Transcrição

1 Introdção a Sistemas Digitais Paralelismo e Pipeline Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 1/ 30

2 Paralelismo Hardware é inerentemente paralelo. Ao se conectar m circito a fonte de alimentação, todos os transistores podem potencialmente realizar algm trabalho. A habilidade de sar convenientemente o paralelismo é m desafio para o projetista: Pensamento paralelo é dificil de ser atingido pois o projetista normalmente pensa em termos seriais. Nem todas as aplicações podem ser desenvolvidas pensando no processamento paralelo. (dependencia de dados). Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 2/ 30

3 Estdo de Caso Algoritmo: int diffeq(int, int, int, int, int a) { int 1, 1, 1; while ( < a ) { 1 = ; 1 = (3 ) (3 ); 1 = ; = 1; = 1; = 1; } retrn ; } a é ma constante Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 3/ 30

4 Psedocodigo e dependência de dados Enqanto (<a){ 1= ; 1= 3 3; 1= ; = 1; = 1; = 1; } 3 3 a n1 n2 n4 n3 n5 n9 < n6 n8 n7 1 1 Paralelismo inerente ao processo da aplicação 1 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 4/ 30

5 Analise de Recrsos de Hardware Depende do paralelismo implementado: Implementação pramente combinacional Implementação seqencial (certo número de ciclos de relogio para completar o algoritmo) Escalonamento Inserção de pipeline no flo seqencial de operação. Enqanto (<a){ 1= ; 1= 3 3; 1= ; = 1; = 1; = 1; } Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 5/ 30

6 Paralelismo dos Operadores Pramente Combinacional Definir a qantidade de hardware necessária para a eecção da aplicação. Opção 1: Tdo combinacional 6 mltiplicadores 2 somadores 3 sbtradores 1 comparador Atraso caminho critico: 2 mltiplicadores 2 sbtratores 3 3 a n1 n2 n4 n3 < n5 n9 n6 n8 n7 1 1 E: 100ns 100ns 30ns 30 ns = 260 ns Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 6/ 30

7 Paralelismo dos Operadores Seqencial (sem limite de recrsos) enqanto (<a){ 1= ; 1= 3 3; 1= ; = 1; = 1; = 1; } 3 3 a < n1 n2 n4 n3 n5 n9 n6 n8 n7 Estado 1 Estado 2 Estado 3 Opção 2: Eecção por estados!!! Estado 4 Necessita de variáveis intermediárias Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 7/ 30

8 Paralelismo dos Operadores Seqencial (sem limite de recrsos) O nmero de ciclos de relógio neste caso depende da dependencia natral da aplicação. 3 3 a Opção 2: Eecção por estados 4 mltiplicadores 1 somadores 1 sbtrador 1 comparador Atraso caminho critico: 1 mltiplicador Tempo de eecção: 4 ciclos n1 n2 n4 n3 n5 n9 < n6 n8 n7 1 1 E: 4 100ns = 400ns Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 8/ 30

9 Paralelismo dos Operadores Seqencial (Recrso limitado) Definir a qantidade de hardware necessária para a eecção da aplicação. Opção 3: Eecção por estados 1 mltiplicadores 1 somadores 1 sbtrador 1 comparador Atraso caminho critico: 1 mltiplicador Tempo de eecção: X ciclos E:? 100ns =? ns 3 3 a n1 n2 n4 n3 n5 n9 < n6 n8 n7 1 1 ESCALONAMENTO Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 9/ 30

10 Otimizações 1 Otimizar temos em comm 3 3 a X n1 n2 n4 n3 n5 n9 < n6 n8 n7 1 1 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 10 / 30

11 Algoritmos de Otimização ASAP (as soon as possible) 3 3 a Estado1: 3 mltiplicadores, 1 somador e 1 comparador Estado 2: 2 mltiplicadores, 1 somador Estado 3: 1 sbtrator Estado 4: 1 sbtrator n1 n2 n4 n5 n9 < n6 n8 n7 Estado 1 Estado 2 Estado 3 Estado Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 11 / 30

12 Algoritmos de Otimização ALAP (as late as possible) 3 n1 n2 3 Estado 1 Estado1: 2 mltiplicadores, Estado 2: 2 mltiplicadores, Estado 3: 1 mltiplicador e 1 sbtrator Estado 4: 1 sbtrator, 2 somadores, 1 comparador n6 n8 n4 n7 a n5 n9 < Estado 2 Estado 3 Estado Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 12 / 30

13 Algoritmos de Otimização ALAP (as late as possible) melhorado 3 n1 n2 3 Estado 1 Estado1: 2 mltiplicadores, Estado 2: 2 mltiplicadores, Estado 3: 1 mltiplicador e 1 sbtrator e 1 somador Estado 4: 1 sbtrator, 1 somador, 1 comparador n6 n8 1 n4 n7 1 n5 1 < n9 Estado 2 Estado 3 a Estado 4 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 13 / 30

14 Paralelismo dos Operadores Pramente Combinacional Definir a qantidade de hardware necessária para a eecção da aplicação. 3 Opção 1: Tdo combinacional n1 n2 3 5 mltiplicadores 2 somadores 3 sbtradores n6 n4 1 comparador Atraso caminho critico: 2 mltiplicadores 2 sbtratores n8 n5 E: 100ns 100ns 30ns 30 ns = 260 ns 1 n7 1 1 a < n9 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 14 / 30

15 Paralelismo dos Operadores Seqencial (sem limite de recrsos) Definir a qantidade de hardware necessária para a eecção da 3 aplicação. Opção 2: Eecção por estados 2 mltiplicadores 1 somadores 1 sbtrador 1 comparador Atraso caminho critico: 1 mltiplicador Tempo de eecção: 4 ciclos 3 E: 4 100ns = 400ns 1 1 n1 n2 n6 n8 n4 n7 n5 < n9 1 a Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 15 / 30

16 Hardware necessário Seqencial (sem limite de recrsos) Entradas dos mltipleadores X, Y, U,, 3, m1, m2, s1, s2 a mltiplicador mltiplicador somador sbtrator comparador Tempo de eecção do laço: 4 ciclos de relógio X Y U Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 16 / 30

17 Uso de Pipeline Pipeline Opção 3: Pipeline 5 mltiplicadores 2 somadores 3 sbtradores 1 comparador 3 n1 n2 n6 3 n4 Estado 1 Estado 2 Atraso caminho critico: 1 mltiplicador n8 n5 Estado 3 Tempo de eecção: 1 ciclo, Após o preenchimento do pipeline qe tem profndidade 4. E: 1100ns = 100 ns 1 n7 1 1 n9 < a Estado 4 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 17 / 30

18 Pipeline 3 n1 n2 3 Estado 1 clk n1 n2 n6 n4 n8 U1 Y1 X1 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 n6 n8 n4 n ciclo1 ciclo2 ciclo3 ciclo4 ciclo5 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. n5 Estado 2 Estado 3 a < Estado 4 n9 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 18 / 30

19 Pipeline 3 n1 n2 3 Estado 1 clk n1 n2 n6 n4 n8 U1 Y1 X1 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 n6 n8 n4 n ciclo1 ciclo2 ciclo3 ciclo4 ciclo5 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. n5 Estado 2 Estado 3 a < Estado 4 n9 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 19 / 30

20 Pipeline 3 n1 n2 3 Estado 1 clk n1 n2 n6 n4 n8 U1 Y1 X1 ciclo1 ciclo2 ciclo1 ciclo2 ciclo1 ciclo1 ciclo3 ciclo3 ciclo2 ciclo3 ciclo2 ciclo3 n6 n8 n4 n7 n ciclo1 ciclo2 ciclo3 ciclo4 ciclo5 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. Estado 2 Estado 3 a < Estado 4 n9 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 20 / 30

21 Pipeline 3 n1 n2 3 Estado 1 clk n6 n4 Estado 2 n1 ciclo1 ciclo2 ciclo3 n8 n5 Estado 3 n2 n6 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 n a < Estado 4 n9 n4 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5 n8 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5. U1 Y1 X1 valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 21 / 30

22 Pipeline 3 n1 n2 3 Estado 1 clk n6 n4 Estado 2 n1 ciclo1 ciclo2 ciclo3 ciclo4 n8 n5 Estado 3 n2 n6 ciclo1 ciclo2 ciclo3 ciclo4 ciclo1 ciclo2 ciclo3 n a < Estado 4 n9 n4 ciclo1 ciclo2 ciclo3 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5 n8 ciclo1 ciclo2 ciclo3 ciclo4 ciclo5. U1 Y1 X1 valorc0 ciclo1 valorc0 ciclo1 valorc0 ciclo1 ciclo2 ciclo3 ciclo4.. ciclo2 ciclo3 ciclo4.. ciclo2 ciclo3 ciclo4.. Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 22 / 30

23 Comparação Combinacional 4 estados (PCPO) Pipeline Area 5 mltiplicadores 2 somadores 3 sbtradores 1 comparador 2 mltiplicadores 1 somadores 1 sbtrador 1 comparador 5 mltiplicadores 2 somadores 3 sbtradores 1 comparador Desempenho Atraso de 2 mltiplicadores 2 sbtratores 4 ciclos de Atraso 1 mltiplicador 1 ciclo de Atraso de 1 mltiplicador Latencia = 4. Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 23 / 30

24 Laboratório 1 Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 24 / 30

25 Implementação em VHDL Comportamental COMBINACIONAL process (clock, reset) variable 1, 1, 1 : std_logic_vector(7 downto 0); begin if reset= 1 then 1 := ; 1 := ; 1 := ; elsif (clock event and clock= 1 ) then if (1<a) then 1:= ; a 1:= 3 3; 1:= ; end if; <= 1; <= 1; <= 1; end process; o <= ; 0 <= ; o <= ; clock reset o o o Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 25 / 30

26 Implementação em VHDL Comportamental Resolvendo problema no tamanho dos operandos process (clock, reset) variable 1, 1, 1 : integer; begin if reset= 1 then 1 := 0; 1 := 0; 1 := 0; elsif (clock event and clock= 1 ) then if (1<a) then 1:= ; a 1:= 3 3; 1:= ; end if; <= conv_std_logic_vector(1, 16); <= conv_std_logic_vector(1, 16); <= conv_std_logic_vector(1, 16); end process; clock reset o <= ; 0 <= ; o <= ; o o o Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 26 / 30

27 Eercicio Simlar 10 laços do algoritmo e ver o tempo de eecção: Determinar: Qantos ciclos de relogio são necessarios para efetar os 10 laços do algoritmo? Qal é o periodo de relogio necessario para o fncionamento? Qal é o csto em área (nmero de LUTs e nmero de flipflops)? Virte2Pro Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 27 / 30

28 Implementação em VHDL Comportamental PIPELINE process (clock, reset) variable 1, 1, 1, n1, n2 n6, n4,, n8, n5, n9,, n7 : integer; begin if reset= 1 then 1 := 0; 1 := 0; 1 := 0;. n7:=0; elsif (clock event and clock= 1 ) then if (= 1 ) then n5:= ; end if; <= conv_std_logic_vector(1, 16); <= conv_std_logic_vector(1, 16); <= conv_std_logic_vector(1, 16); end process; o <= ; 0 <= ; o <= ; 3 n1 n2 n Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 28 / 30 n8 n4 n7 process(clock, reset) begin If reset= 1 then <= 1 ; elsif (clock event and clock= 1 ) then if <a then <= 1 ; else <= 0 ; end if; end if; end process; n5 n9 Estado 1 Estado 2 Estado 3 a < Estado 4

29 Implementação com Pipeline RTL process (clock, reset) begin if reset= 1 then reg <= ; elsif (clock event and clock= 1 ) then if (p= 1 ) then reg = fiox; end if; end if; end process; process(fio, fio) begin fiow <= fiofio; end process; registradores somador process(fio, fio) begin fiow <= fiofio; end process; process(, a) begin if (<a) then p <= 0 ; else p <= 1 ; end process; mltiplicador comparador process(fio, fio) begin fiow <= fiofio; end process; sbtrador Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 29 / 30

30 Eercicio Simlar 10 laços do algoritmo e ver o tempo de eecção: Determinar: Qantos ciclos de relogio são necessarios para efetar os 10 laços do algoritmo? Qal é o periodo de relogio necessario para o fncionamento? Qal é o csto em área (nmero de LUTs e nmero de flipflops)? Virte2Pro Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 30 / 30

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a istemas Digitais Projeto Parte de Controle e Parte Operativa Descrição em linguagem de hardware RTL Implementação ERIAL x PARALELA Parte Operativa Parte de Controle Descrição a nivel de transferencia

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Projeto Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Conteúdo descrição lógica de alto nível (VHDL): componentes da plataforma como memória, contadores,

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Máquinas de Estado Disciplina: CMP238 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2007 Maquinas de Estado Tipo Melay Entrada estado f Reset Proximo estado clk saida LOGIC

Leia mais

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Maquinas de Estados Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Maquinas de Estado Tipo Melay and Moore Entrada estado f Reset

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Verilog HDL Descrição algorítmica Prof. Rodrigo de Paula Rodrigues Descrição algorítmica A B RTL E Representação Intermediária Circuito

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Arquitetura e Organização de Processadores. Aula 08. Arquiteturas VLIW

Arquitetura e Organização de Processadores. Aula 08. Arquiteturas VLIW Universidade Federal do Rio Grande do Sul Instituto de Informática Programa de Pós-Graduação em Computação Arquitetura e Organização de Processadores Aula 08 Arquiteturas VLIW 1. Introdução VLIW é Very

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

Projeto Sistemas Digitais

Projeto Sistemas Digitais Projeto Sistemas Digitais Projeto de Sistemas Digitais: modelagem do algoritmo por fluxograma Implementações para Parte de Controle e Parte Operativa Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima

Leia mais

Organização e Arquitetura de Computadores II

Organização e Arquitetura de Computadores II Organização e Arquitetura de Computadores II Rômulo Calado Pantaleão Camara Romulo.camara@univasf.edu.br www.univasf.edu.br/~romulo.camara 60h/02h Disciplinas Correlacionadas Programação Org. e Arq. de

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Síntese de Sistemas Digitais

Síntese de Sistemas Digitais Síntese de Sistemas Digitais estrutural processadores, memórias registos, muxs portas lógicas transistores sistema RTL alto nível (behavioral) lógico dispositivo físico RTL níveis de abstracção lógica

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Lab 3. Timing Timing Constraints Simulação

Lab 3. Timing Timing Constraints Simulação Lab 3 Timing Timing Constraints Simulação A. Mariano - 2014 1 VHDL processes Para um designer, process é um bloco de instruções que funciona de maneira sequencial e que é chaveado quando algum dos sinais

Leia mais

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital VHDL Prof. Maurício A Dias Laboratório de Lógica Digital Introdução VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. Origem: VHDL é proveniente de VHSIC Hardware Description

Leia mais

Introdução I. Organização e Arquitetura de Computadores. Sequência 15 Parte Operativa do MIPS - I. Introdução II.

Introdução I. Organização e Arquitetura de Computadores. Sequência 15 Parte Operativa do MIPS - I. Introdução II. Organização e Arqitetra de Comptadores Seqência 15 Parte Operativa do IPS - I Introdção I O desempenho de ma máqina pode ser determinado por três fatores: Número de instrções eectadas. Período do clock

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Linguagem de Descrição de Hardware

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2 TIPO INTEGER 2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FF3_tipoD_nivel IS PORT(CLK, RST, SET : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END FF3_tipoD_nivel;

Leia mais

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog. 2. Material utilizado: Ambiente Eclipse 3. Introdução: 3.1

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

EXPLORAÇÃO DO ESPAÇO DE PROJETO EM ARQUITETURAS PARA CÁLCULO DA RAIZ QUADRADA INTEIRA

EXPLORAÇÃO DO ESPAÇO DE PROJETO EM ARQUITETURAS PARA CÁLCULO DA RAIZ QUADRADA INTEIRA EXPLORAÇÃO DO ESPAÇO DE PROJETO EM ARQUITETURAS PARA CÁLCULO DA RAIZ QUADRADA INTEIRA Thaísa L. da Silva, Gustavo P. Mateus, Rita Cristina G. Berardi, Érico K. Sawabe, Ezequiel C. Blasco, José Luís A.

Leia mais

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br 7 e 8 Comandos Sequencias Comandos Sequenciais Execução de acordo com a ordem com

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

X Y Q n Q n Q n 1 1 0

X Y Q n Q n Q n 1 1 0 EXERCÍCIOS DE SISTEMAS DIGITAIS II PREPARAÇÃO PARA P1 DE SD-I 1. Para o registrador de deslocamento serial, 74178 implementar a malha de estados a seguir 0 1 3 7 6 4, cuja mudança de estado é promovida

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Latches e Flip-Flops Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Diagrama Geral de um Sistema Digital Latch com Portas NOR Diagrama de Tempos Latch com Portas NOR Gated Latch c/ portas NOR R R

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

Arquiteturas de Computadores

Arquiteturas de Computadores Arqitetras de Comptadores Pipeline Fontes dos slides: Patterson & Hennessy book website (copyright organ Kafmann) e Dr. Smanta Gha Conflito de controle O problema com desvios no pipeline é qe a decisão

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Uso de banco de registradores e Memorias Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2006 1/ 30 Uso de Memoria em Circuitos Integrados VHDL

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) PACOTES (package) Entidades e Arquiteturas são

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

Modelação de máquinas de estados

Modelação de máquinas de estados Modelação de máquinas de estados FSM (Finite State Machine) sequência determinada de estados, síncrono com relógio estrutura geral saídas (Moore) entradas saída saídas (Mealy) saída saídas (Moore) reset

Leia mais

Prototipação em PLDs

Prototipação em PLDs Universidade do Vale do Rio dos Sinos - UNISINOS Prototipação em PLDs Introdução ao VHDL Autor: Prof. Rodrigo Marques de Figueiredo Agenda Introdução; - Histórico; - Características do VHDL; - Aplicações

Leia mais

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) Fundamentos de Sistemas Digitais 3/outubro/28 CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) profs. Alexandre M. Amory e Fernando G. Moraes Máquina de estados finita (FSM) Uma máquina de

Leia mais

Síntese de Alto Nível (behavioral synthesis)

Síntese de Alto Nível (behavioral synthesis) Síntese de Alto Nível (behavioral synthesis) descrição comportamental (algorítmica) estrutura RTL registos while (x

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

3 a Lista de Exercícios

3 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2010/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Fluxograma ASM Exemplos de fluxogramas ASM Algorithmic State Machine (ASM) Um fluxograma é um modo conveniente de especificar uma sequência de passos procedurais e caminhos

Leia mais

Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável

Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável Matheus Barth Souza¹, Ewerton Artur Cappelatti ² Resumo Com o aumento considerável

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais Circuitos Seqüenciais Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Circuitos Seqüenciais Um circuito seqüencial síncrono consiste de um circuito combinacional e uma rede de memória formada por

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Exercícios Referentes à Prova P2

Exercícios Referentes à Prova P2 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Exercícios Referentes à Prova P2 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 Exercícios 1. Faça um programa VHDL de uma máquina

Leia mais

Processador executa instruções

Processador executa instruções Capítlo 6 do Livro do ario onteiro Capítlo 5 (5., 5.2, 5. e 5.4) do Livro do Patterson http://www.ic.ff.br/~bora/fac! 2 Processador eecta instrções CP (central processing nit) CP (nida central processamento)

Leia mais

Objetos em VHDL. * Há quatro tipos de objetos em VHDL: - Constantes - Sinais - Variáveis - Arquivos

Objetos em VHDL. * Há quatro tipos de objetos em VHDL: - Constantes - Sinais - Variáveis - Arquivos VHDL * Objetos de Dados * Tipos de Dados * Tipos e Subtipos * Atributos * Sentenças Concorrentes e Sequenciais * Procedimetos e Funções * Pacotes e Bibliotecas * Generics * Tipos de Atraso Objetos em VHDL

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore Demonstração de imagens de auxílio didático VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore ISBN 85-216-1452-7 Editora LTC www.ltceditora.com.br Para imagens de um curso completo consulte:

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Fernando Gehm Moraes Matheus Trevisan 20/janeiro/2016 Introdução O objetivo deste trabalho é especificar um módulo que deverá ser implementado

Leia mais

CONCURSO PÚBLICO PARA PROVIMENTO DE CARGO EFETIVO PROFESSOR DE ENSINO BÁSICO, TÉCNICO E TECNOLÓGICO Edital 20/2015 Campus Rio Pomba FOLHA DE PROVA

CONCURSO PÚBLICO PARA PROVIMENTO DE CARGO EFETIVO PROFESSOR DE ENSINO BÁSICO, TÉCNICO E TECNOLÓGICO Edital 20/2015 Campus Rio Pomba FOLHA DE PROVA Tema 01: CONCORRÊNCIA ENTRE PROCESSOS Descreva os problemas que podem existir entre os processos concorrentes e os mecanismos para solução desses problemas utilizados por Sistemas Operacionais atuais.

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Uiversidade Federal de Sata Cataria Cetro Tecológico Departameto de Iformática e Estatística Curso de Graduação em Ciêcias da Computação Aula 10-P Descrição em VHDL, sítese e simulação de um sistema digital

Leia mais