Projeto Sistemas Digitais

Tamanho: px
Começar a partir da página:

Download "Projeto Sistemas Digitais"

Transcrição

1 Projeto Sistemas Digitais Projeto de Sistemas Digitais: modelagem do algoritmo por fluxograma Implementações para Parte de Controle e Parte Operativa Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008

2 Modelagem: Algorithmic State Machine (ASM) Um fluxograma é um modo conveniente de especificar uma sequência de passos procedurais e caminhos de decisão para um algoritmo. Fluxograma para um algoritmo implementado em hardware necessita caracteristicas especiais que conecta ao hardware que implementa o algoritmo. Esse fluxograma especial é chamado de Algorithmic State Machine (ASM), onde state machine é usado aqui para denominar um circuito sequencial. Um fluxograma ASM descreve uma sequencia de eventos e a relação de tempo entre os estados da unidade de controle e as ações que ocorrem em cada estado em resposta aos pulsos de relógio. Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 /

3 Algorithmic State Machine (ASM) O fluxograma ASM contem 3 elementos básicos: Caixa de estado Caixa de decisão Caixa de saída condicional De uma caixa de decisão Operação de Registradores ou saída 0 1 condição Operação de Registradores ou saída Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 3/

4 Algorithmic State Machine (ASM) Caixa de estado A caixa de estado contem: operações de tranferência entre registradores ou sinais de saída que são ativados enquanto a unidade de controle está neste estado. O nome simbólico do estado é colocado no canto superior esquerdo normalmente e o o código binário do estado (quando for definido) é colocado no canto superior direito. Nome Código Operação de Registradores ou saída Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 4/

5 Algorithmic State Machine (ASM) Caixa de decisão A caixa de decisão descreve o efeito da entrada no controle. Possui dois caminhos de saída. A condição de entrada é uma única variável ou uma expressão boolena nesta caixa. Um caminho é escolhido caso a condição seja verdadeira (1) e o outro caso ela seja falsa (0). 0 1 condição Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 5/

6 Algorithmic State Machine (ASM) Caixa de saída condicional A caixa de saída condicional é unica do fluxograma ASM e sua entrada deve vir de uma ou mais caixas de decisão. Se a condição especificada em um caminho que passa pela caixa de decisão vindo de uma caixa de estado é satisfeito, a transferencia de registradores ou saída listada na caixa de saída condicional é ativada. De uma caixa de decisão Operação de Registradores ou saída Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 6/

7 Definição de Bloco ASM Um bloco ASM consiste de uma caixa de estado e todas as caixas de decisão e saídas condicionais conectadas entre a saida da caixa de estado e os caminhos de entrada para a mesma ou outras caixas de estado. O bloco representa decisões e ações de saída que acontecem no estado. Qualquer saída cuja condição é satisfeita no bloco ASM tem sua ativação no estado em questão. Qualquer transferência entre registradores, que acontece quando condições são satisfeitas, são realizadas quando o evento do clock acontece. Este mesmo evento do clock também transfere o controle para um dos proximos estados, como especificado pelas decisões do bloco ASM. Equivale a... estado Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 7/

8 Definição de Bloco ASM O fluxograma ASM é uma forma eficiente de representar diagramas de estados da parte de controle de circuitos sequenciais. Cada bloco ASM corresponde a um nodo do diagrama de estados. As caixas de decisão são equivalentes aos valores de entrada descritos nos arcos que conectam os estados do diagrama. As transferencias entre registradores e saídas nas caixas de estado são as saídas difinidas nos estados do diagrama de estados. As saídas nas caixas de decisão são as saídas condicionais descritas nos arcos que conectam os estados no diagrama de estados. Equivale a... estado R 0 START=1 PC 0 START=0 PC X Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 8/

9 Exemplo de um bloco ASM START=0 START=1 and Q 0 =0 A 0 MUL0 output: A is registered IDLE START=1 and Q 0 =1 A 0 MUL1 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 9/

10 Exemplo de Blocos ASM equivalentes Sabendo que: S1, S e S3 são nomes simbólicos dos estados X1 e X são entradas Z1 e Z são saídas Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

11 Exemplo de Erro em Laço Incorreto Correto Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

12 Exemplo de Blocos ASM equivalentes PARALELO SERIAL Equivale a 1 estado Equivale a 1 estado Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

13 Além de Atribuições Podemos definir operações mais complexas nas atribuições que serão implementadas por operadores (somadores, multiplicadores, etc...) fora da máquina de estados (parte de controle) e sim em uma parte operativa. Exemplos: Caixa de estado Caixa de decisão Caixa de saída condicional A F x B; B D+B+C-G 0 1 (A+B)/ Z (F/)xH; X D+B+C-xG Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

14 Exemplo de Implentações Precisa OPERADORES de: and or A+BC Não precisa de OPERADORES porem essa lógica de decisão é implementada na função de próximo estado na FSM Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

15 OBJETIVO De um ASM chegar ao circuito final (parte operativa e parte de controle) Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008

16 Exemplo - ASM:rami S0 A <= IN1; B <= IN; C <= IN3; S3 A >5 Out <= A; Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

17 Parte Operativa (Datapath)) do ASM:rami Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

18 Parte de Controle do ASM:rami Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

19 Modelo Parte Operativa Parte de Controle status E/S Operadores Registradores Conexões PO comandos Máquina de Estados PC E/S relógio Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

20 Considerações A partir do fluxograma pode-se obter um bloco de controle onde cada bloco ASM do fluxograma corresponderá a um estado no controle. (desperdício x tecnicas de otimização) Fluxograma possui operações factiveis do ponto de vista de sistemas digitais. Operações que podem ser realizadas em um ciclo de relógio. Decisão dos recursos disponíveis na parte operativa, interdependencia com o projeto da parte de controle. Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

21 Diferenças entre Linguagem X Hardware Custo das conexões Durante a simulação (Matlab, C, etc ), o custo de uma ligação entre uma variável e outra é gratuíta. Isto não reflete a realidade dos circuitos. A temporização ou o atraso dos componentes envolvidos no projeto está escondida quando se usa linguagem de alto nível. Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

22 Projeto do Bloco Operacional (PO) Conjunto de Registradores Rede de Interconexões Conjunto de Operadores Rede de Interconexões Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 008 /

23 Conexões com Multiplexadores m registradores de n-bits cada Cada registrador tem um mux de m:1 de n-bits por entrada Se Cm é o custo de 1 mux :1 Custo total = m * Cm * (n-1) C0 C1 Cm-1 enr0 enr0 clk enr1 R0 R1 Rm-1 clk enrm-1 clk n*m bits Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

24 Conexões com Multiplexadores Transferências simultaneas = + paralelismo R0 R1 ao mesmo tempo que R Rm-1 C0 C1 C Cm-1 enr0 enr0 clk enr1 R0 R1 R clk enr clk enrm-1 clk Rm-1 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

25 Conexões com Barramento m registradores de n-bits cada Se Cb é o custo do buffer de 3 estados Custo total = m * Cb * n ou * m * Cb * n ENW0 ENW1 ENWm-1 R0 R1 Rm-1 clk clk clk ENR0 ENR1 ENRm-1 n bits Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

26 Conexões com Barramento Serialização das transferências Exemplo: R0 R1 ciclo de clock 1 ENW0 0 ENW1 ENW ENWm-1 ENR0 R0 R1 R clk clk clk ENR1 0 ENR clk ENRm-1 Rm-1 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

27 Conexões com Barramento Serialização das transferências Exemplo: R Rm-1 ciclo de clock ENW0 ENW1 ENW 0 ENWm-1 R0 R1 R clk clk clk ENR0 ENR1 ENR clk ENRm-1 0 Rm-1 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

28 Comparação Mux X Barramento Custo mux para inserção de registradores (m+1) * Cm * (n-1+m) : quadrádico em termos de m Custo barramento para inserção de registradores (m+1)*cb*n Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

29 Projeto da PO com MUX Determinar os recursos a serem disponiveis na PO para determinar o paralelismo das operações. 1. Montagem da tabela de transferência: tabela onde cada variável parece em uma coluna e as linhas são ordenadas pelo estado. Todas as operações que mudam a variavel naquele estado são registradas.. Alocação de variáveis em registradores. Determinar quantas variaveis irão ser alocadas em registradores tentado minimizar o numero de registradores diferentes. (register alocation and life-time analysis) 3. Escolha dos operadores necessários Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

30 Exemplo: Multiplicador por somas sucessivas Idle 0 start 0 1 AR Início P 0 AR A BR B ACC 0 R ACC P 1 0 S1 BR 1 1 ACC ACC + AR BR BR - 1 done Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

31 Exemplo: Multiplicador por somas sucessivas Parte Operativa A LAR AR Resetacc ACC R LACC B MB 0 1 LBR BR =0 CAR Parte Controle Start=0 Somador Start=1 LAR=1, MB=0, LBR=1, resetacc=1 LACC=0, P=0 Subtrator =0 CBR Idle S1 done CAR=0 e CBR=0 LAR=0, MB=1, LBR=0, resetacc=0 CAR=1 ou CBR=1 LACC=1, P=0 LAR=0, MB=1, LBR=0, resetacc=0 LACC=0, P=1 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

32 PO com operadores e mux p/ multiplicação Diminuindo o Paralelismo B A Reset AR 1 ACC BR R =0 =0 FSM: 4 estados ( estados no laço) Somador/Subtrator +/- Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

33 PO com Barramento p/ multiplicação E/S cargar1 AR BR ACC R1 R 1 transferência por ciclo cargar Função +/- e = Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

34 PO com Barramento p/ multiplicação E/S AR ACC R1 cargar1 R E/S BR cargar Função +/- e = transferências por ciclo Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

35 Exemplo: Multiplicador Binário 1 shift Variável K shift 3 shift 4 shift Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

36 Exemplo: Multiplicador Binário Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

37 Exemplo: Multiplicador Binário Recursos da parte operativa: registrador deslocamento e somador shift Start=1 Load=1 M=1 Ad=1 O K vem de um contador K=1 Start=0 S0 S1 S S3 idle M=0 Ad=0 Sh=1 done K=0 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

38 Projeto Bloco de Controle (PC) Estado Atual Função de Saída Função Próximo Estado Status Entrada Externas Parte Operativa Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

39 Temporização PC-PO PO Relógio Estado Atual Função Saída Parte Operativa Função Prox. Estado f = 1 t p FF + T p FS + tp PO + t p FPE + t set FF Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

40 Projeto Bloco de Controle (PC) Estado Atual Função de Saída Função Próximo Estado Entrada Externas Status Parte Operativa Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

41 Temporização PC-PO PO Relógio Estado Atual Função Saída Parte Operativa Status Função Prox. Estado f = 1 t p FF + T p FS + tp PO + t p FPE + t set FF Maior numero de estados na PC Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

42 Controle de Carga do Registrador relógio Condição R1 condição R1 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

43 Exemplo: Extrator de Raiz Quadrada Numeros inteiros e positivos Ler X -- inicialização R=1; d=; s=4, t=1; Enquanto (t==1) { r=r+1; d=d+; s=s+d+1; t=ac(s,x); } devolve(r); } ac(a,b) semsinal a, b; { Se (a<=b) retorna (1); Senão retorna (0); } clk reset start x Extrator Raiz Quadrada ready r Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

44 Exemplo: Extrator de Raiz Quadrada Idle S1 0 start 0 t S Raiz 1 Raiz dado 1 R=1; d=; s=4, t=1 r r+1; d d+; s s+d+1; done Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

45 Projeto Parte de Controle Idle S1 0 S0 start 1 Raiz dado r=1; d=; s=4 0 t S Raiz 1 r r+1; d d+; s s+d+1; done Start=0, t=x Start=1, t=x t=x, start=x t=0, start=x Idle? S0 S1 done t=1,??? start=x Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

46 Projeto Parte Operativa dado Resetr Resetd Resets LDRaiz LDr LDd LDS Raiz r d s 1 0 somador 0 somador 1 somador t Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

47 Projeto Parte Operativa Admitindo o tempo de propagação do somador > que o comparador dado Resetr Resetd Resets LDRaiz LDr LDd LDS Raiz r d s 1 0 somador 0 somador Atraso máximo entre dois registradores 1 somador t Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

48 Projeto Parte de Controle Start=x, t=x Start=0, t=x Start=1, t=x t=x, start=x t=0, start=x Idle S0 t=1, start=x Resetr =1 Resetd = 1 Resets = 1 LDRaiz = 0 LDr = 0 LDs = 0 LDd = 0 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 1 LDr = 0 LDs = 0 LDd = 0 S1 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 0 LDr = 1 LDs = 1 LDd = 1 done Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 0 LDr = 0 LDs = 0 LDd = 0 Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

49 Exemplo: Extrator de Raiz Quadrada Opção Idle S1 0 start 0 t S Raiz 1 1 S0 Raiz dado r=1; d=; s=4 S r r+1; d d+; done S3 s s+d+1; Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

50 Projeto Parte de Controle Idle S1 0 S0 start 1 Raiz dado r=1; d=; s=4 0 t S Raiz 1 r r+1; Sd d+; Start=0, t=x Idle? S3s s+d+1; done t=1, start=x Start=1, t=x t=x, start=x S0 S1 S S3???? done t=0, start=x Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /?

51 Projeto Parte Operativa dado Resetr Resetd Resets LDRaiz LDr LDd LDS Raiz r d s 1 Ca Cb Cin somador t Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

52 Projeto Parte Operativa Admitindo o tempo de propagação do somador > que o comparador dado Resetr Resetd Resets LDRaiz LDr LDd LDS Raiz r d s 1 Ca Cb Cin somador t Atraso máximo entre dois registradores Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

53 Projeto Parte de Controle Start=0, t=x Start=1, t=x t=x, start=x t=0, start=x Idle S0 S1 S S3 done Resetr =1 Resetd = 1 Resets = 1 LDRaiz = 0 LDr = 0 LDs = 0 LDd = 0 Ca = 0 Cb = 00 Cin = 0 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 1 LDr = 0 LDs = 0 LDd = 0 Ca = 0 Cb = 00 Cin = 0 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 0 LDr = 1 LDs = 0 LDd = 0 Ca = 0 Cb = 01 Cin = 0 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 0 LDr = 0 LDs = 0 LDd = 1 Ca = 1 Cb = 00 Cin = 0 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 0 LDr = 0 LDs = 1 LDd = 0 Ca = 1 Cb = 10 Cin = 1 Resetr =0 Resetd = 0 Resets = 0 LDRaiz = 0 LDr = 0 LDs = 0 LDd = 0 Ca = 0 Cb = 00 Cin = 0 t=1, start=x Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

54 Exemplo: Extrator de Raiz Quadrada Opção 3 - Barramento Idle S1 0 start 0 t S Raiz 1 1 S0 Raiz dado r=1; d=; s=4 S r r+1; d d+; done S3 s s+d+1; Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

55 PO com Barramento p/ raiz quadrada E/S EnRRaiz EnWRaiz Resetr Resetd Resets Raiz r d s EnRr EnWr EnRd EnWd EnRs EnWs R1 R cargar1 EnRSoma somador cargar 1 transferência por ciclo Disciplina: CMP38 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt /

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Fluxograma ASM Exemplos de fluxogramas ASM Algorithmic State Machine (ASM) Um fluxograma é um modo conveniente de especificar uma sequência de passos procedurais e caminhos

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Máquinas de Estado Disciplina: CMP238 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2007 Maquinas de Estado Tipo Melay Entrada estado f Reset Proximo estado clk saida LOGIC

Leia mais

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Maquinas de Estados Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Maquinas de Estado Tipo Melay and Moore Entrada estado f Reset

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a istemas Digitais Projeto Parte de Controle e Parte Operativa Descrição em linguagem de hardware RTL Implementação ERIAL x PARALELA Parte Operativa Parte de Controle Descrição a nivel de transferencia

Leia mais

Registradores de Deslocamentos.

Registradores de Deslocamentos. Registradores de Deslocamentos. 1. Introdução: Implementação de um registrador de deslocamento, conversão paralelo-série e série-paralelo, geração de atrasos, contador e implementação de um registrador

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Sistemas Digitais Transferências entre Registradores

Sistemas Digitais Transferências entre Registradores Sistemas Digitais Transferências entre Registradores Referência Bibliográfica: Logic and Computer Design Fundamentals Mano & Kime Adaptações: josé artur quilici-gonzalez Sumário Transferências entre Registradores

Leia mais

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA

NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA AULA 17 - Nível de Transferência entre Registradores RTL. Livro texto, pág.242 a 276 e apostila de fluxo de dados. 1. Introdução:.Continuação projeto RTL. Exemplo 5.2: Medidor de distância baseado em raio

Leia mais

3 a Lista de Exercícios

3 a Lista de Exercícios Universidade Federal de Santa Catarina Departamento de Informática e Estatística Bacharelado em Ciências da Computação INE 5406 - Sistemas Digitais - semestre 2010/2 Prof. José Luís Güntzel guntzel@inf.ufsc.br

Leia mais

Sistemas Digitais Sequenciamento e Controle ASM Algorithmic State Machine

Sistemas Digitais Sequenciamento e Controle ASM Algorithmic State Machine Sistemas Digitais Sequenciamento e Controle ASM Algorithmic State Machine Referência Bibliográfica: Adaptação: josé artur quilici-gonzalez Sumário Interação entre Caminho de Dados e Unidade de Controle

Leia mais

X Y Z A B C D

X Y Z A B C D 29) A seguinte tabela verdade corresponde a um circuito combinatório de três entradas e quatro saídas. Obtenha a tabela de programação para o circuito em um PAL e faça um diagrama semelhante ao apresentado

Leia mais

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Capítulo 3 Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Conhecer alguns dos principais circuitos digitais sequenciais

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

EELi02. Prof. Vinícius Valamiel

EELi02. Prof. Vinícius Valamiel EELi2 Prof. Vinícius Valamiel vvalamiel@gmail.com https://sites.google.com/site/vvalamiel/ APLICAÇÕES DE CIRCUITOS SEUENCIAIS BÁSICOS (REGISTRADORES E CONTADORES) REGISTRADORES DE DESLOCAMENTO (elemento

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula -T 4. Projeto de Sistemas Digitais no Nível RT. Classificação

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Armazenamento e Transferência de Dados

Armazenamento e Transferência de Dados Armazenamento e Transferência de Dados Prof. André Rabelo Slides: Sistemas Digitais(Bibliografia básica) Armazenamento e Transferência de Dados FFs são comumente usados para armazenamento e transferência

Leia mais

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC,

MINISTÉRIO DA EDUCAÇÃO CEFET/SC - Unidade de São José. Curso Técnico em Telecomunicações REGISTRADORES. Marcos Moecke. São José - SC, MINISTÉRIO DA EDUCAÇÃO - Unidade de São José Curso Técnico em Telecomunicações REGISTRADORES Marcos Moecke São José - SC, 24-2 SUMÁRIO 6. REGISTRADORES... 1 6.1 REGISTRADORES DO TIPO PORTA PARALELA...1

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdção a Sistemas Digitais Paralelismo e Pipeline Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gsmão de Lima Kastensmidt 1/ 30 Paralelismo Hardware é inerentemente paralelo. Ao se conectar m circito

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

Circuito de dados e circuito de controlo

Circuito de dados e circuito de controlo Circuito de dados e circuito de controlo Considerações iniciais Exemplo 2 1 As metodologias estudadas até agora permitem projectar circuitos digitais combinatórios e digitais de pequena complexidade. Estas

Leia mais

Projetos Digitais e Microprocessadores 1 o Semestre de 2005 Prof. Luis Allan Künzle Prova Final 05/07/2005 Prova sem consulta.

Projetos Digitais e Microprocessadores 1 o Semestre de 2005 Prof. Luis Allan Künzle Prova Final 05/07/2005 Prova sem consulta. Bacharelado em Ciência da Computação DINF / UFPR Projetos Digitais e Microprocessadores o Semestre de 5 Prof. Luis Allan Künzle Prova Final 5/7/5 Prova sem consulta. [Questão - Peso,5] Conecte o registrador

Leia mais

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br 7 e 8 Comandos Sequencias Comandos Sequenciais Execução de acordo com a ordem com

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

ENGC40 - Eletrônica Digital

ENGC40 - Eletrônica Digital ENGC40 - Eletrônica Digital 1 a Lista de Exercícios Prof. Paulo Farias 1 de setembro de 2011 1. A Figura 1 mostra um circuito multiplicador que recebe dois números binários x 1 x 0 e y 1 y 0 e gera a saída

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

REGISTRADOR DE DESLOCAMENTO (SHIF-REGISTER)

REGISTRADOR DE DESLOCAMENTO (SHIF-REGISTER) REGISTRADOR DE DESLOCAMENTO (SHIF-REGISTER) Conceito geral: O registrador de deslocamento (do inglês Shift-Register) é um dispositivo largamente usado em sistemas digitais, desde uma simples calculadora

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

Análise e Projeto de Circuitos Combinacionais e Sequenciais

Análise e Projeto de Circuitos Combinacionais e Sequenciais Análise e Projeto de Circuitos Combinacionais e Sequenciais Referência bibliográfica: - Digital Design: Principles and Practices - Wakerly - Elementos de Eletrônica Digital Idoeta e Capuano - Introduction

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

3. Revisão de Eletrônica Digital

3. Revisão de Eletrônica Digital 3. Professor: Vlademir de Oliveira Disciplina: Microcontroladores e DSP 3.1 Aritmética Binária Representação de números em complemento de 1 Ex.: 1 1 1 1-1 1 2, 5 = 12,5d ou 12,5 1 Decimal 2 3 2 2 2 1 2

Leia mais

1 a Lista de Exercícios

1 a Lista de Exercícios Observações gerais: Universidade Federal de Santa atarina epartamento de Informática e Estatística Bacharelado em iências da omputação INE 546 - Sistemas igitais - semestre 2/2 Prof. José Luís Güntzel

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

ELETRÔNICA DIGITAL II

ELETRÔNICA DIGITAL II ELETRÔNICA DIGITAL II Parte 8 Máquina de Estados Professor Dr. Michael Klug 1 Lembrando Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação dos valores das entradas neste

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Registos. Registos de dados ( registers )

Registos. Registos de dados ( registers ) ESTV-ESI-Sistemas Digitais-Registos 1/9 Registos Registos de dados ( registers ) Os registos de dados são circuitos constituídos por um determinado número de flip-flops, normalmente do tipo D, com entradas

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Sistemas Digitais. Aula 11

Sistemas Digitais. Aula 11 Sistemas Digitais Aula 11 1 Projeto de sistemas digitais Unidade de Processamento da Informação Transferência de Informação Operação sobre a informação Unidade de Controle Determina a seqüência de operação

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Departamento de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de Computadores I Circuitos Lógicos Sequenciais (Parte

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

Capítulo 1 Conceitos Introdutórios

Capítulo 1 Conceitos Introdutórios Capítulo 1 Conceitos Introdutórios slide 1 1.4 Sistemas de Números Digitais Compreender os sistemas digitais requer um entendimento dos sistemas decimal, binário, octal e hexadecimal. Decimal dez símbolos

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES Prof. Juliana Santiago Teixeira julianasteixeira@hotmail.com INTRODUÇÃO INTRODUÇÃO O processador é o componente vital do sistema de computação, responsável

Leia mais

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 PROJETOS DIGITAIS E MICROPROCESSADORES CIRCUITOS SEUENCIAIS Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1 INVERSORES CMOS PROJETOS DIGITAIS E MICROPROCESSADORES 2 INVERSORES CMOS PROJETOS

Leia mais

18/10/2010. Unidade de Controle Controle. UC Microprogramada

18/10/2010. Unidade de Controle Controle. UC Microprogramada Arquitetura de Computadores Unidade de Controle Controle Microprogramado Prof. Marcos Ribeiro Quinet de Andrade Universidade Federal Fluminense - UFF Pólo Universitário de Rio das Ostras - PURO UC Microprogramada

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Microprocessadores I ELE 1078 Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Grupos de Instruções do 8085 As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

CONCURSO PÚBLICO PARA PROFESSOR DE ENSINO MÉDIO E TÉCNICO, Nº 065/11/ PROCESSO Nº 5191/2017.

CONCURSO PÚBLICO PARA PROFESSOR DE ENSINO MÉDIO E TÉCNICO, Nº 065/11/ PROCESSO Nº 5191/2017. ETEC DE ILHA SOLTEIRA - ILHA SOLTEIRA CONCURSO PÚBLICO PARA PROFESSOR DE ENSINO MÉDIO E TÉCNICO, Nº 065/11/2017 - PROCESSO Nº 5191/2017. AUTORIZAÇÃO GOVERNAMENTAL CONTIDA NO ARTIGO 11 DA LEI COMPLEMENTAR

Leia mais

Exemplo 1 de Projeto de Circuito Síncrono: Multiplicador Binário

Exemplo 1 de Projeto de Circuito Síncrono: Multiplicador Binário PS234 MULTIPLIAOR BINÁRIO Rev. Exemplo de Projeto de ircuito Síncrono: Multiplicador Binário Resumo elaborado por Edith Ranzini, a apartir do livro KIME, R; MANO, M.M. Logic and omputer esign Fundamentals.

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

Treinamento em Projeto de Sistemas Digitais

Treinamento em Projeto de Sistemas Digitais Treinamento em Projeto de Sistemas Digitais Projetando Sistemas Digitais com SystemVerilog Edna Barros Grupo de Engenharia da Computação Centro de Informática -UFPE Treinamento em Projeto de Sistemas Digitais

Leia mais

Infra-estrutura de Hardware

Infra-estrutura de Hardware CPU: Estrutura e Funcionalidade Roteiro da Aula Ciclo de Instrução Projeto de uma CPU simples: conceitos Componentes básicos Leitura da instrução Operação entre registradores Acesso à memória Implementação

Leia mais

1. Sistemas de numeração e códigos 23

1. Sistemas de numeração e códigos 23 Sumário 1. Sistemas de numeração e códigos 23 1.1. Conceitos fundamentais 23 1.2. Representações numéricas 24 1.3. Representação de dados numéricos 25 1.4. Sistemas de números e bases numéricas 27 1.4.1.

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Circuitos Seqüenciais Sistemas Digitais

Circuitos Seqüenciais Sistemas Digitais 2 ircuitos Seqüenciais Sistemas igitais Muitos sistemas digitais são pulsados ou clocked. Isto é, eles operam em sincronismo com um trem de pulsos de período chamado relógio (clock). n n+ ula GEO-I-UFPE

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

Universidade Federal do ABC

Universidade Federal do ABC Universidade Federal do ABC Eletrônica Digital Aula 09: Considerações Gerais e Aplicações de Flip-Flop TOCCI, Sistemas Digitais, Sec. 5.11-5.23 http://sites.google.com/site/eletdigi/ 1 Entradas Assíncronas

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 11 Elementos de memória Parte 2/2 de Paula Rodrigues Elementos de memória Contexto Flip-flops (FFs) disparados por borda Versáteis

Leia mais

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC

Aula Expositiva 03. DCC 001 Programação de Computadores 2 o Semestre de 2011 Prof. Osvaldo Carvalho DCC Aula Expositiva 03 2.2.5 Síntese de Circuitos Combinatórios (7 segmentos) 2.2.6 Multiplexadores e Demultiplexadores 2.3 Circuitos Sequenciais 2.3.1 Flip-flops e registradores 2.3.2 Barramentos e Controle

Leia mais

CARRREGA MULTIPLICANDO/MULTIPLICADOR NOS REGISTRADORES. = 0 DESLOQUE REG. PRODUTO 1 BIT A DIR DESLOQUE REG. MULT_ADOR. 1 BIT A DIR.

CARRREGA MULTIPLICANDO/MULTIPLICADOR NOS REGISTRADORES. = 0 DESLOQUE REG. PRODUTO 1 BIT A DIR DESLOQUE REG. MULT_ADOR. 1 BIT A DIR. NOTAS DE AULA NE7720 SISTEMAS DIGITAIS - II AULA 20 - Nível de Transferência entre Registradores RTL. Apostila de fluxo de dados. EXERCÍCIO 2: Dado o fluxo de dados abaixo, definir as palavras de controle

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Circuitos Combinacionais Lógicos

Circuitos Combinacionais Lógicos Circuitos Combinacionais Lógicos Pedroni Capítulo 11 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/21 Conteúdo programático 1 Circuitos Combinacionais

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I BARRAMENTO Slide 1 Sumário Introdução Componentes de Computador Funções dos Computadores Estruturas de Interconexão Interconexão de Barramentos Slide 2 Introdução

Leia mais

Barramento. Prof. Leonardo Barreto Campos 1

Barramento. Prof. Leonardo Barreto Campos 1 Barramento Prof. Leonardo Barreto Campos 1 Sumário Introdução; Componentes do Computador; Funções dos Computadores; Estrutura de Interconexão; Interconexão de Barramentos Elementos de projeto de barramento;

Leia mais

CONJUNTO DE INSTRUÇÕES

CONJUNTO DE INSTRUÇÕES CONJUNTO DE INSTRUÇÕES 1 CARACTERÍSTICAS DE INSTRUÇÕES DE MÁQUINA Quando um programador usa uma linguagem de alto-nível, como C, muito pouco da arquitetura da máquina é visível. O usuário que deseja programar

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan Portas Lógicas Flip-Flop Mapa de Karnaugh Simbologias Representação Binária Tabela Verdade Cap 7 Revisão Teoremas Booleanos Teoremas de De Morgan

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3. - Português Aula N o 7: Título: Sumário: Contadores Contadores síncronos (contadores de módulo 2n, projecto de contadores, frequência máxima

Leia mais

Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores. Daniel S Batista

Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores. Daniel S Batista Eletrônica e Circuitos Digitais Aula 14 Contadores e Registradores Daniel S Batista Daniel.Strufaldi@gmail.com Organização Contadores assíncronos Contadores de módulo < 2 N. Circuitos integrados de contadores

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Contadores(Aula2) Prof. Rômulo Calado Pantaleão Camara

Contadores(Aula2) Prof. Rômulo Calado Pantaleão Camara Contadores(Aula2) Prof. Rômulo Calado Pantaleão Camara Carga Horária: 2h/60h Analisando Contadores Síncronos Pode-se projetar contadores síncronos personalizados, que realizem contagem desejada, usando

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES

CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES 37 CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES Sumário 3.1. Introdução... 39 3.2. Transferência Serial de Dados: Registradores de Deslocamento (Reg. ESSS)... 40 3.2.1. Transferência Dados Registrador...

Leia mais

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008. Turma Projeto Lógico L Digital Edson T. Midorikawa 25/02/2008 Professor Edson Midorikawa edson.midorikawa@poli.usp.br Horário de atendimento: 6ª feira das 10h00 às 11h00 na sala C2-20 Turma 4 automação

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais Circuitos Sequenciais Tópicos: Contadores Memórias Circuitos Sequenciais Teoremas DeMorgan Mapas de Karnaugh Multiplexadores Flip Flops Flip Flop Os flip flops são unidades básicas de memória. Cada circuito

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES

CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES 49 CAPÍTULO 3 CIRCUITOS SEQUENCIAIS I: REGISTRADORES Sumário 3.1. Introdução... 51 3.2. Transferência Serial de Dados: Registradores de Deslocamento (Reg. ESSS)... 52 3.2.1. Transferência Dados Registrador...

Leia mais

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1)

Circuitos Integrados. Nível da Lógica Digital (Aula 7) Circuitos Combinacionais. Circuitos Lógicos Digitais Básicos. Multiplexadores (1) Circuitos Integrados Nível da Lógica Digital (Aula 7) Circuitos Lógicos Digitais Básicos Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação I Eng. Elétrica 2007/2 CIs (chips/pastilhas) Agrupa portas

Leia mais

SISTEMAS DIGITAIS III. Projeto em nível RTL. Prof. Luís Caldas Profa. Maria Claudia Castro

SISTEMAS DIGITAIS III. Projeto em nível RTL. Prof. Luís Caldas Profa. Maria Claudia Castro SISTEMAS DIGITAIS III Projeto em nível RTL 2011 ÍNDICE I - INTRODUÇÃO... 3 Composição funcional do Fluxo de dados... 3 Sinais de controle e de habilitação e status do Fluxo de dados... 4 II - PROJETO EM

Leia mais

CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP)

CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP) CONJUNTO DE INSTRUÇÕES DE UM PROCESSADOR (UCP) 1 LINGUAGENS Constituída de seqüência de zeros (0) e uns (1) Cada instrução em ASSEMBLY constitui-se em um mnemônico (uma forma fácil de se lembra) de uma

Leia mais

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais