Algumas questões de prova recentes com seus gabaritos

Tamanho: px
Começar a partir da página:

Download "Algumas questões de prova recentes com seus gabaritos"

Transcrição

1 Algumas questões de prova recentes com seus gabaritos Q1 Uma das formas mais simples e mais usadas de detecção de erros na transmissão de dados digitais são os códigos de paridade. Estes consistem em acrescentar um bit a uma seqüência de bits que representam dados úteis, de forma a garantir que o total de bits transmitidos possua sempre um número de 1 s que combine com a paridade escolhida. Por exemplo, caso se trabalhe com paridade ímpar, o bit acrescentado a cada seqüência de dados deve ser tal que a quantidade total de bits transmitidos (mensagem + bit de paridade) possua um número ímpar de 1 s. Implemente um gerador de paridade ímpar em VHDL. A especificação é a seguinte: o módulo deve receber a mensagem a transmitir de forma serial em um sinal IN de 1 bit. Um sinal de controle NEND de 1 bit informa, quando em 0, que o valor na entrada IN é o derradeiro bit de informação útil a ser transmitido. Uma das saídas do circuito é o sinal OUT, que apresenta, bit a bit, a mensagem a ser transmitida, acrescida, no momento certo, do bit de paridade. Outra saída é um sinal ENDM, que indica quando o último bit da mensagem, o bit de paridade está disponível na saída OUT. Não se esqueça de, após receber o último bit e gerar a paridade, voltar ao estado inicial, onde se espera nova mensagem. Comece mostrando a interface de entrada e saída do circuito sob a forma de uma entity VHDL (0,5 pontos). A Figura abaixo mostra um comportamento válido do circuito, supondo sensibilidade à borda de subida do relógio, sem mostrar o processo de inicialização do circuito (diagrama começa com circuito no estado inicial, sinal de RESET não mostrado) e supondo uma mensagem de 5 bits sendo enviada. Mostre, para sua implementação: (1) o diagrama de transição de estados do sistema, indicando o estado inicial e a codificação de estados escolhida (1,5 pontos); (2) a implementação sob a forma de uma architecture em VHDL. Use os tipos std_logic e std_logic_vector sempre que estes possam ser aplicados (2,5 pontos). CK Relógio IN Texto: Entradas NEND Fim de texto OUT Msg c/ paridade: ENDM Fim de mensagem Solução (diagrama de transição sai dierto do VHDL): Source code for the odd parity generator, by Ney Calazans -- library IEEE; use IEEE.std_logic_1164.all; entity odd_par_gen is port ( reset: in STD_LOGIC; clock: in STD_LOGIC; inp: in STD_LOGIC; nend: in STD_LOGIC; outp: out STD_LOGIC; endm: out STD_LOGIC); end odd_par_gen; architecture odd_par_gen of odd_par_gen is type states is (par,impar,fpar,fimpar); signal pr_st,nxt_st : states;

2 signal outp_i, endm_i : std_logic; -- internal values generated -- by the Mealy implementation process (clock,reset) -- state register and output register if reset='1' then pr_st <= par; outp <= '0'; endm <= '0'; elsif clock'event and clock='1' then pr_st <= nxt_st; outp <= outp_i; endm <= endm_i; end if; process (inp,nend,pr_st) -- next_state and outpur functions variable inputs : std_logic_vector (1 downto 0); inputs := inp & nend; case pr_st is when par => outp_i <= inp; endm_i <= '0'; case inputs is when "00" => nxt_st <= fpar; when "01" => nxt_st <= par; when "10" => nxt_st <= fimpar; when "11" => nxt_st <= impar; when others => null; end case; when impar => outp_i <= inp; endm_i <= '0'; case inputs is when "00" => nxt_st <= fimpar; when "01" => nxt_st <= impar; when "10" => nxt_st <= fpar; when "11" => nxt_st <= par; when others => null; end case; when fpar => outp_i <= '1'; endm_i <= '1'; nxt_st <= par; when fimpar => outp_i <= '0'; endm_i <= '1'; nxt_st <= par; when others => null; end case; end odd_par_gen; O testbench seria (não pedido na questão, reproduz exatamente a forma de onda da questão) library ieee; use ieee.std_logic_1164.all; -- Add your library and packages declaration here... entity odd_par_gen_tb is end odd_par_gen_tb; architecture TB_ARCHITECTURE of odd_par_gen_tb is -- Component declaration of the tested unit component odd_par_gen port( reset : in std_logic; clock : in std_logic; inp : in std_logic; nend : in std_logic;

3 end component; outp : out std_logic; endm : out std_logic ); -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal reset : std_logic; signal clock : std_logic; signal inp : std_logic; signal nend : std_logic; -- Observed signals - signals mapped to the output ports of tested entity signal outp : std_logic; signal endm : std_logic; -- Add your code here Unit Under Test port map UUT : odd_par_gen port map (reset => reset, clock => clock, inp => inp, nend => nend, outp => outp, endm => endm ); reset <= '1', '0' after 5ns; process clock <= '0', '1' after 10ns; wait for 20ns; inp <= '0', '1' after 35ns, '0' after 75ns, '1' after 95ns, '0' after 105ns, '1' after 115ns; nend <= '1', '0' after 85ns, '1' after 95ns; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_odd_par_gen of odd_par_gen_tb is for TB_ARCHITECTURE for UUT : odd_par_gen use entity work.odd_par_gen(odd_par_gen); end for; end for; end TESTBENCH_FOR_odd_par_gen;

4 Q2 Implemente um programa que conte todas as ocorrências de um padrão arbitrário em uma cadeia de caracteres. A cadeia é um vetor de caracteres ASCII armazenado a partir do endereço de memória INS, e o término desta cadeia é identificado, como na linguagem C, pelo caracter ASCII NULL (código 00H). O padrão é um outro vetor de caracteres, terminado da mesma maneira que a cadeia onde se fará a pesquisa, e armazenado a partir da posição de memória PAT. O resultado deve ser o número de vezes que PAT ocorre dentro de INS, armazenado em uma posição de memória vezes. Por exemplo, se INS contiver ABCDABGTHHHABTT (ou seja, 16 elementos, incluindo o caracter NULL, não mostrado, que termina a cadeia), e se PAT for o padrão AB (com 2 elementos e terminado por NULL, também não mostrado), o resultado em vezes (posição de memória) ao final da execução deverá ser 3. [3,5 pontos] Pede-se: a) Descreva o algoritmo usando palavras ou um fluxograma [1 ponto]. b) Implemente o programa na linguagem de montagem do processador Cleópatra. Não se esqueça de mostrar, além do programa, uma área de dados válida a ser usada na execução. [2,5 pontos]. Solução: ; ; CONTADOR DE OCORRENCIAS EM STRINGS resultado = 4 ;.code LOOP: LDA INS,I NOT ADD PATTMP,I JZ IGUAIS,R LDA PAT STA PATTMP LDA INS,I NOT ADD PATTMP,I JZ IGUAIS,R ; verifica se ins[i]==pat[j] ; equivalente à j=0 (resseta o ponteiro) ; verifica NOVAMENTE, pois podia estar ; em curso de comparação e interrompeu (HARD!) L1: LDA INS,I JZ FIM LDA INS STA INS JMP LOOP,R ; encontrou null na string ; incrementa indice da string principal IGUAIS: LDA PATTMP STA PATTMP LDA PATTMP,I JZ INCREM JMP L1,R INCREM: LDA VEZES STA VEZES

5 LDA PAT STA PATTMP JMP L1,R ; equivalente à j=0 (resseta o ponteiro) FIM: HLT.endcode.data vezes: db #00 INS: db V1 PAT: db V2 PATTMP: db V2 V1: db #65h,#34h,#34h,#11h,#27h,#44h,#022h,#02h,#34h,#11h db #0FFH,#0FFH,#0FFH,#0FFH,#34h,#11h,#34h,#11h,#00 V2: db #34h,#11h,#00H.enddata Q3 Repita a implementação do programa da Questão 1 para o processador R11. Atente para o fato de que a memória de dados da R11 é formada de palavras de 16 bits. Para facilitar a implementação do programa, considere que os dados de todos os vetores estão desempacotados, isto é, que cada caracter ocupa uma palavra de memória, estando o caracter em questão no byte inferior, a posição superior sempre contendo 00H. [2,5 pontos] Pede-se: a) Implemente o programa na linguagem de montagem do processador R11. Não se esqueça de mostrar, além do programa, uma área de dados válida a ser usada na execução. b) Qual o tamanho do seu programa em bytes? Solução: ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;; ARQUITETURA R11 - detecção de strings repetidos ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;.code XOR R0, R0, R0 ; R0=0 XOR R1, R1, R1 ; R1 - variável i XOR R2, R2, R2 ; R2 - variável j XOR R3, R3, R3 ; R3 vezes temporario LDLI R4 #INS LDHI R4, #INS LDLI R5 #PAT LDHI R5, #PAT LOOP: LD R6, R4, R1 ; INS[I] LD R7, R5, R2 ; PAT[J] COMP R6, R7 STMSK #20H ; z (n/n'/z/z'/c/c'/z/z') JPMI #IGUAIS ; INS[I] == PAT[J]? XOR R2, R2, R2 ; zera variável j LD R7, R5, R2 ; PAT[J] COMP R6, R7 STMSK #20H ; segundo teste, agora com 'j' zerado JPMI #IGUAIS

6 L1: COMP R6, R0 ; INS[I] == null? STMSK #20H ; z (n/n'/z/z'/c/c'/z/z') JPMI #FIM ADDI R1,#01H ; i++ STMSK JPMI #0FFH #LOOP IGUAIS: ADDI R2,#01H ; j++ LD R7, R5, R2 ; PAT[J] COMP R7, R0 STMSK #10H ; z' (n/n'/z/z'/c/c'/z/z') JPMI #L1 ; PAT[J]!= null? ADDI R3, #01H ; incrementa vezes XOR R2, R2, R2 ; zera variável j STMSK #0FFH JPMI #L1 FIM: LDLI R5 #VEZES LDHI R5, #VEZES ST R5, R3 ; GRAVA VEZES HALT.endcode ; area de dados ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;.data vezes: db #0000H INS: db #AA03H, #0012H, #0012H, #0034H, #BB18H, #0012H, #0034H, #CC35H, #DD0ABH, #EE0CDH, #FF77H, #8153H, #0012H, #0034H, #0012H, #0034H, #5645H, #0000H PAT: db #0012H, #0034H, #0000H.enddata Q4 Um determinado circuito receptor de dados contém a seguinte interface externa: os sinais linha, init, clock e ready são fios (1 bit cada). O barramento saída contém 8 bits. A operação do circuito ocorre conforme descrito abaixo [4 pontos]: Quando não há dados a serem transmitidos, a entrada linha linha saída está com valor constante 1. O circuito RX fica monitorando RX linha até que ocorra uma descida para valor lógico 0. Assuma que ready init linha está sincronizada com o clock, e que suas transições ocorrem na borda de subida do mesmo. Esta primeira descida de linha não é utilizada na palavra que clock queremos receber. Na segunda borda de descida do clock, após a descida da linha, armazena-se o bit 0 da palavra de saída. Procede-se assim até a nona descida do clock, onde é armazenado o bit 7 de saída. Na décima descida do clock, após a descida da linha, gera-se o sinal ready, o qual indica existência de dado disponível. a) Implemente a descrição do circuito em VHDL [2,5 pontos]. b) Complete o diagrama de tempos correspondente ao test_bench abaixo para 210 ns [1,5 pontos].

7 library ieee; use ieee.std_logic_unsigned.all; use ieee.std_logic_1164.all; entity serial_tb is end serial_tb; architecture TB_ARCHITECTURE of serial_tb is component serial port( clock : in std_logic; init : in std_logic; linha : in std_logic; saida : out std_logic_vector(7 downto 0); ready : out std_logic ); end component; signal ready, clock, init, linha : std_logic; signal saida : std_logic_vector(7 downto 0); type mem_rom is array (0 to 4) of std_logic_vector(7 downto 0); constant byte : mem_rom := ( x"4e", x"73", x"ff", x"c9", x"5c" ); UUT : serial port map (clock => clock, init => init, linha => linha, saida => saida, ready => ready ); init <= '1', '0' after 4 ns; process clock<='1', '0' after 5ns; wait for 10ns; process variable cont : integer := 0; linha <= '1'; wait for 30 ns; linha <= '0'; wait for 10 ns; f1: for cc in 0 to 7 loop linha <= byte(cont)(cc); wait for 10 ns; end loop f1; cont := cont + 1; if cont=5 then cont:=0; end if; end TB_ARCHITECTURE; Init clock linha saída ready Solução: library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity serial is port ( ); end serial; clock, init, linha : in std_logic; saida: out std_logic_vector(7 downto 0); ready: out std_logic architecture beh of serial is

8 type type_state is (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9); signal EA,PE : Type_STATE; signal byte_rx: std_logic_vector(7 downto 0); saida <= byte_rx; process(clock,init) --- REGISTRADOR ÚNICO PARA ESTADO/REG SAÍDA if init='1' then EA <= S0; byte_rx <= (others => '0'); elsif clock'event and clock='0' then EA <= PE; if EA/=S0 and EA/=S9 then byte_rx <= linha & byte_rx(7 downto 1); end if; end if; process(ea, linha) case EA is when S0 => ready <= '0'; if linha = '0' then PE <= S1; -- detect a start bit end if; when S1 => PE <= S2; when S2 => PE <= S3; when S3 => PE <= S4; when S4 => PE <= S5; when S5 => PE <= S6; when S6 => PE <= S7; when S7 => PE <= S8; when S8 => PE <= S9; when S9 => PE <= S0; ready <= '1'; end case; end beh;

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

FSM em VHDL e Verificação Funcional. CMP de junho de 2006 FSM em VHDL e Verificação Funcional CMP 238 20 de junho de 2006 Máquina de Estados: exemplo Reset= S0 S S3 X= S2 Descrição em VHDL library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL;

Leia mais

Exercícios Referentes à Prova P2

Exercícios Referentes à Prova P2 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Exercícios Referentes à Prova P2 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 Exercícios 1. Faça um programa VHDL de uma máquina

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) Fundamentos de Sistemas Digitais 3/outubro/28 CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM) profs. Alexandre M. Amory e Fernando G. Moraes Máquina de estados finita (FSM) Uma máquina de

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Projeto Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Conteúdo descrição lógica de alto nível (VHDL): componentes da plataforma como memória, contadores,

Leia mais

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans LABORG Parte 3 - VHDL: Processos, Paralelismo e o Comando process Fernando Gehm Moraes Ney Laert Vilar Calazans 31/março/2014 Sumário Ø Introdução Ø Processos em VHDL Ø TRABALHO A FAZER Ø A ENTREGAR 2

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

LABORG. VHDL Máquina de estados finitos

LABORG. VHDL Máquina de estados finitos LABORG VHDL Máquina de estados finitos Sumário Introdução TRABALHO A FAZER A ENTREGAR 2 Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável

Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável Descrição de um Hardware Multiplicador Parametrizável para Números Sinalizados em Complemento a Dois em Lógica Configurável Matheus Barth Souza¹, Ewerton Artur Cappelatti ² Resumo Com o aumento considerável

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital VHDL Prof. Maurício A Dias Laboratório de Lógica Digital Introdução VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. Origem: VHDL é proveniente de VHSIC Hardware Description

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2

TIPO INTEGER. Profa. Luiza Maria Romeiro Codá 2 TIPO INTEGER 2 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY FF3_tipoD_nivel IS PORT(CLK, RST, SET : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END FF3_tipoD_nivel;

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr. PCS3225 Sistemas Digitais II Biestáveis em VHDL Marcos A. Simplicio Jr. Slides baseados em material de Edson Midorikawa e Bruno Albertini PCS3225-2015 1 Tópicos VHDL: recapitulação Circuitos sequenciais

Leia mais

Exercícios Suplementares de Programação Assembly da Cleópatra

Exercícios Suplementares de Programação Assembly da Cleópatra Exercícios Suplementares de Programação Assembly da Cleópatra 1. Listas encadeadas são estruturas de dados importantíssimas em aplicações onde o volume de dados a manipular não está definido antes da execução,

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona VHDL Lógica Síncrona Circuitos combinacionais respondem a estímulos com um atraso que depende de sua implementação Para sincronizar subsistemas em lógica combinacional utiliza-se barreiras temporais, que

Leia mais

ISE com VHDL estrutural

ISE com VHDL estrutural UFRJ - DEL EEL480-2014 Laboratório - Turmas EL1, EL2 ISE com VHDL estrutural Texto para as aulas de laboratório, a ser complementado pelo Tutorial dos Alunos e manuais da Xilinx UG331, UG334 e UG695. Mário

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200. AULA 5 Aplicação com divisor de freqüência com o CI 74293. Livro Texto pág.197 a 200. 1. Contadores Assíncronos comerciais CI 74293. 1.1 Configuração Interna. 1.2 Bloco contador assincrono ou modulante,

Leia mais

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Prof. Alan Petrônio Pinheiro - 2011 Introdução VHDL é uma linguagem de descrição de hardware Hardware Description

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 7 Máquinas Seqüencias Síncronas:

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Acesso à Memória Externa na Plataforma de Prototipação XS40/XST-1

Laboratório sobre Implementação de Sistemas Digitais com VHDL Acesso à Memória Externa na Plataforma de Prototipação XS40/XST-1 Laboratório de Organização de Computadores 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Acesso à Memória Externa na Plataforma de Prototipação XS40/XST-1 Prática: Implementação de uma

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

EXERCÍCIOS DE ORGANIZAÇÃO DE COMPUTADORES

EXERCÍCIOS DE ORGANIZAÇÃO DE COMPUTADORES EXERCÍCIOS DE ORGANIZAÇÃO DE COMPUTADORES Autor: Fernando Gehm Moraes Data: 01/03/2001 Esta é uma primeira versão de uma lista de exercícios para a disciplina de Organização de Computadores, contendo todos

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Fernando Gehm Moraes Matheus Trevisan 20/janeiro/2016 Introdução O objetivo deste trabalho é especificar um módulo que deverá ser implementado

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a istemas Digitais Projeto Parte de Controle e Parte Operativa Descrição em linguagem de hardware RTL Implementação ERIAL x PARALELA Parte Operativa Parte de Controle Descrição a nivel de transferencia

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 04/outubro/2018 CIRCUITOS COMBINACIONAIS modelagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 (1) (DE)CODIFICADOR Codificador é um circuito que mapeia um conjunto

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) PACOTES (package) Entidades e Arquiteturas são

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 14: Título: Sumário: Circuitos Sequenciais Básicos: Flip-Flops Flip-Flops (Flip-flop master-slave, Flip-flop JK,

Leia mais

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. Introdução à VHDL Gustavo G. Parma Assunto: Introdução à VHDL. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL. 1 Introdução Teórica VHDL, VHSIC (Very High Speed Integrated

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Introdução à Linguagem VHDL Plano de Ensino Conteúdo Programático 3. Ferramentas de Desenvolvimento 3.4. Editor de texto (programação VHDL ou

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 3 Introdução ao VHDL Prof. Leonardo Augusto Casillo O que significa VHDL? Very High Speed Integrated Circuit Hardware Description

Leia mais

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan LABORG Parte 5 Projeto de um circuito digital de média complexidade Parte 2 Fernando Gehm Moraes Matheus Trevisan 0/maio/2015 Relógio de Xadrez 1. Em campeonatos, o xadrez é jogado usando um relógio. A

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete - 1 - V 1.0 - De: 02/maio/2012 por Ney Calazans Laboratório de Organização de Computadores-EC V 3.2 - De: 21/abril/2013 por Fernando Gehm Moraes e Ney Calazans Implementação de um Sistema Digital em VHDL

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando VHDL Professor Dr. Michael Klug 1 Comparativo Linguagens Linguagem de Programação x HDL Supondo que cada instrução leve 20ns entre 40 e 60ns para conclusão

Leia mais

Prototipação em PLDs

Prototipação em PLDs Universidade do Vale do Rio dos Sinos - UNISINOS Prototipação em PLDs Introdução ao VHDL Autor: Prof. Rodrigo Marques de Figueiredo Agenda Introdução; - Histórico; - Características do VHDL; - Aplicações

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO

SISTEMAS DIGITAIS TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO TRABALHO DE LABORATÓRIO IV CIRCUITO DE PROCESSAMENTO DE DADOS RELATÓRIO Identificação dos Alunos: Nome: Diogo Morgado Número: 84032 Nome: Valter Piedade Número: 84195 Turno de Laboratório: SD4517L05 Grupo:

Leia mais

Sistemas Digitais Unidade Lógica e Aritmética - ULA

Sistemas Digitais Unidade Lógica e Aritmética - ULA Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid

Leia mais

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore Demonstração de imagens de auxílio didático VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore ISBN 85-216-1452-7 Editora LTC www.ltceditora.com.br Para imagens de um curso completo consulte:

Leia mais

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt

SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br 7 e 8 Comandos Sequencias Comandos Sequenciais Execução de acordo com a ordem com

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Como verificar um projeto ou construindo testbenches em VHDL

Como verificar um projeto ou construindo testbenches em VHDL Como verificar um projeto ou construindo testbenches em VHDL Hans Jorg Schneebeli (hans@ele.ufes.br) Departamento de Engenharia Elétrica Universidade Federal do Espirito Santo (www.ufes.br) Uma vez descrito

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 1 Objetivos da Aula: Linguagem VHDL Bibliotecas e Tipos de Dados STD STD_LOGIC_1164 Constantes, Variáveis, Sinais, Arrays, Range Expressões (Operadores) Exemplos

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Soluções dos Exercícios Ímpares dos Capítulos 19 23

Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, Soluções dos Exercícios Ímpares dos Capítulos 19 23 Eletrônica Digital Moderna e VHDL Volnei A. Pedroni, Elsevier, 00 Tradução (com revisão, atualização e ampliação) de Digital Electronics and Design with VHDL Elsevier / Morgan Kaufmann, USA, 00 Soluções

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Uso de banco de registradores e Memorias Disciplina: Sistemas Digitais Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2006 1/ 30 Uso de Memoria em Circuitos Integrados VHDL

Leia mais

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt SISTEMAS DIGITAIS Linguagem de Descrição de Hardware VHDL Maquinas de Estados Prof. Fernanda Gusmão de Lima Kastensmidt fglima@inf.ufrgs.br Maquinas de Estado Tipo Melay and Moore Entrada estado f Reset

Leia mais

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Eletrônica Digital para Instrumentação. Herman Lima Jr. G03 Eletrônica Digital para Instrumentação Prof: hlima@cbpf.br Centro Brasileiro de Pesquisas Físicas Ministério da Ciência, Tecnologia e Inovação (MCTI) Parâmetros de circuitos integrados lógicos Dispositivos

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Fernando Moraes e Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Fernando Moraes e Ney Laert Vilar Calazans atualização - 05/agosto/2013 Mais informações sobre VHDL Web sites sobre VHDL e assuntos relacionados http://www.asic-world.com/vhdl/links.html

Leia mais

Revisão: Projeto de Processadores em VHDL

Revisão: Projeto de Processadores em VHDL Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto de Processadores em VHDL DCA0119 Sistemas Digitais Heitor Medeiros Florencio 1 Sumário

Leia mais

Computador Cleópatra

Computador Cleópatra ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES Computador Cleópatra Assembly Alexandre Amory Edson Moreno 2 / 36 Na Aula Anterior Vimos a máquina de estados da Cleo 3 / 36 Arquitetura Cleópatra - Von Neumann

Leia mais

CMP238 Projeto e Teste de Sistemas VLSI

CMP238 Projeto e Teste de Sistemas VLSI CMP238 Projeto e Teste de Sistemas VLSI Uso de banco de registradores e Memorias Projeto Prof. Fernanda Lima Kastensmidt Uso de Memoria em Circuitos Integrados VHDL designs Memory Model Simulador lógico

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Máquinas de Estado Disciplina: CMP238 Profa. Dra. Fernanda Gusmão de Lima Kastensmidt 2007 Maquinas de Estado Tipo Melay Entrada estado f Reset Proximo estado clk saida LOGIC

Leia mais

Arquitetura de Computadores

Arquitetura de Computadores VHDL - VHSIC Hardware Description Language Arquitetura de Computadores Leonardo Augusto Casillo Referências bibliográficas PELLERIN, David. TAYLOR, Douglas. VHDL Made Easy. Prentice-Hall PTR. 1997. SKANHILL,

Leia mais