Cronômetro Digital de Dois Dígitos para Aplicações no Ensino de Sistemas Digitais

Tamanho: px
Começar a partir da página:

Download "Cronômetro Digital de Dois Dígitos para Aplicações no Ensino de Sistemas Digitais"

Transcrição

1 UNIVERSIDADE FEDERAL DA BAHIA ESCOLA POLITÉCNICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA Cronômetro Digital de Dois Dígitos para Aplicações no Ensino de Sistemas Digitais F. L. Santos, I. V. V, Alves, and T. S. Lourenço Universidade Federal da Bahia Abstract This report brings a simple project for implementation of a digital chronometer based-on static logic with logic gates and flip-flops. It will show how it was modeling this system, as well as verifying its consistence and we ll make some tests to prove its efficient. In the end we ll show the circuit built in a protoboard. Keywords Digital Chronometer. Digital Circuits. Sequential Circuits. Combinational Circuits. D I. INTRODUÇÃO esde o surgimento da eletrônica digital diversos problemas cotidianos puderam ser resolvidos de forma rápida, eficiente e, de certa forma, lógica. Através da miniaturização dos componentes foi possível integrar muitos elementos em um mesmo chip, denominado circuito integrado. A utilização dos circuitos integrados permitiu a criação de unidades lógicas e aritméticas facilitando cálculos nas áreas de engenharia, física e computação, por exemplo. Os primeiros circuitos digitais eram formados estritamente por portas lógicas que designam uma lógica combinacional, onde a saída é função exclusiva da entrada e as características de sequências temporais de resposta são inexistentes, o que não permitia, por exemplo, o armazenamento de dados. Em 99, Willian Eccles e F. W. Jordan criaram o menor elemento de memória de um circuito digital, trata-se do flip-flop. A partir de agora, os circuitos não só retornam valores que dependem das entradas, mas também de estados anteriores, ou seja, da sequência temporal. Estes circuitos possuem lógica sequencial. Este trabalho relatará o projeto de concepção de um cronômetro digital de dois dígitos, baseado em lógica fixa sequencial e combinacional a fim de aplicar os conhecimentos apreendidos sobre Sistemas Lógicos, o que facilitou desta maneira o processo de ensino e aprendizagem. A organização do trabalho é a segue: na Seção II, apresentaremos o problema inicial, elaborando mecanismos de resolução para que finalmente o sistema seja modelado e projetado. Em seguida, na Seção III, será feita uma análise computacional para simulação do funcionamento do circuito com auxílio da ferramenta Multisim. A implementação do projeto numa plataforma física de testes (protoboard) será apresentada na Seção IV. Por fim, na Seção V, apresentaremos os resultados e conclusões do trabalho. II. MODELAGEM DO SISTEMA Nesta Seção abordaremos numa visão down-top (de elementos do sistema para o sistema) a modelagem e projeto do circuito. A. O problema do tempo Os circuitos digitais que utilizam lógica sequencial precisam de uma base de tempo para funcionarem adequadamente. Em nosso sistema, a base de tempo servirá também para a contagem do próprio tempo, uma vez que ele é um sistema cronométrico que contará os segundos. Diversas são as alternativas para se gerar o chamado clock, que é um sinal elétrico que servirá como base de tempo para o sistema, que vão desde a utilização de um cristal de quartzo, passando por um astável ou ainda um outro circuito digital, como um microcontrolador. Devido a praticidade, economia e o não requerimento de extrema precisão, utilizaremos o circuito integrado NE na configuração de astável. O circuito do na configuração astável pode ser visto na Figura. 88.kΩ R.kΩ R uf C V Vs RST DIS THR TRI CON 0nF Cf GND OUT 00Ω Rl _VIRTUAL Timer Um circuito digital é dito de lógica fixa quando o seu hardware permite a realização de uma só tarefa, se quisermos alterá-la ou adicionar outra, deveremos construir outro circuito ou readaptá-lo. Figura - Circuito do CI na configuração astável

2 UNIVERSIDADE FEDERAL DA BAHIA ESCOLA POLITÉCNICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA O cálculo dos valores a malha R-C (Resistor-Capacitor) responsável pela geração da frequência de oscilação do astável é dada pelas equações () e (): T H = 0,9 ( R + R) C () 0,9 R C () T L = Onde: T H corresponde ao tempo em nível alto da forma de onda e T L ao tempo em nível baixo. R A e R B são as resistências existentes na malha de carga e descarga do capacitor; C é a capacitância do capacitor. A forma de onda no capacitor e a gerada na saída do circuito pode ser vista na Figura. o sistema, é necessário informar ao usuário que o utilizará o resultado da contagem de forma amigável e intuitiva. Existem diversas formas que incluem desde LED s e displays de segmentos a displays LCD. Os LED s informariam uma saída em formato binário, o que não é trivial para o ser humano, ao passo que um display LCD, embora elegante aumentaria muito a complexidade do circuito. Utilizaremos então dois displays de segmentos. Os displays de segmentos possuem LED s arranjados em formas de segmentos, nomeados de a (primeiro superior) a g (segmento do meio) seguindo o sentido horário. Isso permite uma visualização decimal. A Figura mostra o aspecto físico de um display de segmentos. Figura - Display de segmentos Figura - Forma de onda no capacitor e na saída [] B. A contagem digital do tempo O segundo problema que apresentamos se refere a como transformar pulsos elétricos em números. Algo que possa ser quantificado e posteriormente transformado em algo legível ao usuário. Apresentamos agora os circuitos contadores, que são circuitos formados por flip-flops e portas lógicas e que podem apresentar diversas topologias. São divididos em síncronos, quando o sinal de clock atinge simultaneamente todos os flipflops do circuito e assíncronos, quando o clock é aplicado apenas ao primeiro flip-flop, e o clock dos demais blocos é função dos anteriores. Devido a simplicidade do sistema, o contador assíncrono pode ser utilizado, uma vez que o contador síncrono é o mais completo contador, ele tem condições de gerar qualquer tipo de seqüência binária, ou seja, é um gerador de palavras e consequentemente de códigos binários []. Os contadores assíncronos podem ser ainda classificados como contadores de pulso, de década, de 0 a N, crescentes, decrescentes, etc. Utilizaremos o contador de década 90, pois conta de 0 a 9 (0000 a 00, em binário). Como o cronômetro exibirá de 0 a 99 segundos, serão necessários dois destes. C. A exibição do tempo Embora possa ficar claro para o projetista o que ocorre com Será necessário controlar bits ( para cada segmento do display), no entanto, o contador nos retorna valores digitais em formado de nibble ( bits). Será necessária fazer então a conversão entre os sistemas, processo conhecido como decodificação. Convém salientar, que como LED s, os displays de segmentos possuem polaridade. Um display é dito anodo comum, quando todos os anodos dos LED s estão interligados ou catodo comum, quando todos os catodos estão interligados. Utilizaremos o display catodo comum, o motivo da escolha ficará claro posteriormente. A decodificação pode ser feita como segue na Tabela. As entradas Q N do decodificador, representam as saídas dos contadores ( bits, de 0000 a 00), e as saídas a, b, c, d, e, f e g representam a saída do decodificador. Nível lógico corresponde a V, ao passo que nível lógico 0, corresponde a 0V. Tabela - Tabela que mostra o processo de decodificação Nº Q D Q C Q B Q A a b c d e f g Para cada segmento existirá um circuito com portas lógicas o

3 UNIVERSIDADE FEDERAL DA BAHIA ESCOLA POLITÉCNICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA que tornaria o circuito final maior, mais complexo e com maior potencialidade de falhas. A fim de simplificar o trabalho, utilizaremos decodificadores prontos vendidos comercialmente, como o circuito integrado (BCD para Segmentos). D. Sistema de Controle Outro aspecto relevante a ser considerado é o de interação com o usuário. Todo cronômetro que se preze possui botões de INICIA/PARA (Start/Stop) e ZERA (Reset). Alguns circuitos integrados possuem estas funcionalidades embutidas, mas o 90 possui apenas a segunda. Faz-se necessário, então, construir um circuito capaz de iniciar e parar o cronômetro a qualquer instante e zerá-lo, quando necessário. Uma técnica útil é remover o sinal de clock quando quisermos parar a contagem e reconectá-lo quando quisermos iniciar ou reiniciar a contagem. Os botões utilizados são do tipo push button, que fecha o contato apenas quando o pressionamos. Este tipo de chave nos trás um problema: como parar e iniciar o cronômetro (ou seja, ligar e desligar o clock) se a chave não possui estados estáveis? Este problema pode ser resolvido com a utilização de um flipflop tipo D, que funcionará como um biestável acionado pela chave. O circuito pode ser visto na Figura. Seguindo a sua tabela verdade como mostra a Figura. Figura Tabela verdade com funções de reset []. E. Visão geral do sistema O diagrama da Figura mostra o sistema em blocos. J UA SD O D ~O Key = S R9 CP CD R Q 0kΩ CLOCK IN BCC R CLOCK OUT Figura - Parte do Circuito de Controle Neste circuito, o coletor do transistor Q(BC) é ligado à saída Q do flip-flop. Quando tivermos nível lógico na sua saída, o transistor estará polarizado adequadamente e conduzirá e cortará segundo o sinal de clock (gerado pelo astável) na sua base (clock in). O sinal sairá no emissor (clock out) que irá para o primeiro contador. Analogamente, ~Q estará em nível 0, que conectado à entrada D fará alternar a saída Q para nível 0, assim que um pulso de clock (ou seja, pressionando a chave) ocorrer. Lembrando-se que a saída Q do flip-flop D é a própria entrada, desde que haja transição de subida em seu clock (CP). O circuito de reset utilizou as funcionalidades do CI 90. Figura Circuito de reset Figura - Diagrama em Blocos do Sistema. O circuito de controle ativa ou desativa o sinal de clock proveniente do circuito astável (CI ). O clock atinge o primeiro contador (A, CI 90), cuja saída está ligada ao decodificador (CI ) do display que exibirá a unidade de segundo. Quando o contador chegar a nove (ou seja, tivermos 00 na saída), uma porta AND (CI 08) cujas suas entradas estão conectadas ao bit mais significativo (MSB) e menos significativo (LSB) do primeiro contador, tem a sua saída levada ao nível lógico, que gerará o sinal de clock para o segundo contador (B, CI 90), que possui suas saídas ligadas ao decodificador do display da dezena de segundo. III. VERIFICAÇÃO E SIMULAÇÃO DO CIRCUITO Após o projeto do circuito e análise global do

4 RST DIS THR TRI CON GND OUT SD D CP CD INA INB R0 R0 R9 R9 CK A B C D E F G DA DB DC DD INA INB R0 R0 R9 R9 CK A B C D E F G DA DB DC DD UNIVERSIDADE FEDERAL DA BAHIA ESCOLA POLITÉCNICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA comportamento e funcionamento do mesmo, partiu-se para a simulação. O circuito foi reproduzido no Multisim versão 0. De posse do comportamento esperado, verificaram-se as possibilidades de entradas e retorno do sistema, onde o sistema foi então validado. A Figura 8 mostra o ambiente de simulação. Figura 9 - Vista do circuito implementado em protoboard. Figura 8 - Simulação do circuito no Multisim. IV. IMPLEMENTAÇÃO DO CIRCUITO EM PROTOBOARD Verificada a validade do funcionamento do circuito, avaliou-se a sua viabilidade financeira através de um orçamento inicial, como segue na Tabela. Tabela - Orçamento dos componentes Ítem Qtde. Descrição Preço Unitário Total Display seg. C.C. R$,0 R$,00 CI 90 R$,00 R$ 8,00 CI R$,00 R$,00 CI 08 R$,00 R$,00 CI R$,00 R$,00 CI R$,0 R$,0 Push Button R$ 0,0 R$,0 8 Resistor R$ 0,0 R$ 0,0 9 Resistor 00Ω R$ 0,0 R$ 0,0 0 Resistor R$ 0,0 R$,80 Resistor R$ 0,0 R$ 0,80 Potenciômetro 0kΩ R$,0 R$,0 Trimpot de 0kΩ R$,00 R$,00 Capacitor Eletrolítico µ x V R$ 0,0 R$ 0,0 Transistor BC R$ 0,0 R$ 0,0 LED mm vermelho R$ 0,0 R$ 0,80 Total R$,0 V. CONCLUSÃO Este trabalho teve o objetivo de mostrar de forma clara e objetiva o processo de concepção e implementação de um cronômetro digital de dois dígitos com circuitos lógicos combinacionais e sequenciais. Através deste projeto, foi possível compreender os passos para criação de um projeto, que vão desde a concepção, passando pela modelagem, verificação, simulação e vão até a etapa de implementação e testes. Foi necessário o entendimento de uma forma apurada do comportamento dos contadores, geração de clock e demais assuntos vistos em disciplinas de Sistemas Lógicos e Laboratório Integrado I do curso de Engenharia Elétrica da UFBA. Aspectos positivos do trabalho envolvem a interação entre alunos componentes da equipe, conhecimento de materiais e reconhecimento de componentes, aplicação dos conhecimentos adquiridos em sala de aula, dentre outros. Aspectos negativos é o gasto com os materiais, que além dos citados na Tabela, incluem também ferramentas como alicates, protoboard, chaves de fenda, etc, que nem sempre estão acessíveis facilmente para estudantes de uma instituição pública gratuita. VI. APÊNDICE A Figura 0 mostra o circuito completo do cronômetro. 9.09kΩ R.kΩ R nf C 0nF Cf 00Ω Rl X _VIRTUAL Timer. V J Key = S UA O ~O 0BD_V R9 R 0kΩ J Key = Space R0 00Ω Q BCC R X. V R R R R OA OB OC OD 0 OE 9 OF OG ~EL ~BI ~LT U0 R R U9 BT_V R R R R R OA OB OC OD 0 OE 9 OF OG U ~EL ~BI ~LT R R U BT_V R Constatada a viabilidade, efetuou-se a compra dos componentes montando o circuito numa placa de protótipos (protoboard), como mostra a Figura 9. J Key = R R8 U QA QB 9 QC 8 QD 90N 90N UA U QA QB 9 QC 8 QD UA 08J 08J U8A Figura 0 Circuito completo do cronômetro digital 08J

5 UNIVERSIDADE FEDERAL DA BAHIA ESCOLA POLITÉCNICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA REFERÊNCIAS [] Contadores binários. Disponível em: < Acesso em 9 de novembro de 0. [] CI. Disponível em: < 0.html>. Acesso em de novembro de 0. [] TOCCI, R.J. & WIDMER,N.S. Sistemas digitais: princípios e aplicações. 8 a ed, Prentice-Hall, 00 [] DM08: Quad -Input AND GATES. Disponível em: < Acesso em: de novembro de 0. [] DM90: Decade and Binary Counter. Disponível em: < Acesso em: de novembro de 0. [] : BCD-to- Segment Latch/Decoder/Driver. Disponível em: < Acesso em: de novembro de 0. [] : Single timer. Disponível em: < Acesso em: de novembro de 0. [8] : D Flip-Flop. Disponível em: < Acesso em: de novembro de 0.

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA RELÓGIO DIGITAL -MONTAGEM COM CONTADOR COMERCIAL

INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA RELÓGIO DIGITAL -MONTAGEM COM CONTADOR COMERCIAL INSTITUTO DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SERGIPE COORDENADORIA DE ELETRÔNICA RELÓGIO DIGITAL -MONTAGEM COM CONTADOR COMERCIAL Relatório técnico apresentado como requisito parcial para obtenção de

Leia mais

CRONÔMETRO DIGITAL PROJETO

CRONÔMETRO DIGITAL PROJETO CRONÔMETRO DIGITAL PROJETO OBJETIVOS: a) Verificação do funcionamento dos contadores; b) Aplicabilidade de circuitos contadores; c) Verificação do funcionamento de um cronômetro digital. INTRODUÇÃO TEÓRICA

Leia mais

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 6 Display, Decodificadores e Codificadores Prof.: Michael LED Diodo emissor de luz (LED) Para nós será utilizado para dar uma indicação luminosa do nível lógico de sinal; Ligado

Leia mais

Circuito integrado Temporizador 555. Circuito Integrado Temporizador (Timer) 555

Circuito integrado Temporizador 555. Circuito Integrado Temporizador (Timer) 555 Circuito Integrado Temporizador (Timer) 555 Apesar de não se tratar de um componente digital, faremos agora um estudo do Timer 555. Este circuito Integrado é largamente utilizado como base de marcação

Leia mais

Universidade Federal de Juiz de Fora Laboratório de Eletrônica CEL 037 Página 1 de 7

Universidade Federal de Juiz de Fora Laboratório de Eletrônica CEL 037 Página 1 de 7 Universidade Federal de Juiz de Fora Laboratório de Eletrônica CEL 037 Página 1 de 7 1 Título Prática 3 Aplicações do CI 555 2 Objetivos Apresentar o Circuito Integrado 555 e suas aplicações. Desenvolver

Leia mais

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76.

Eletrônica Digital II. Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Eletrônica Digital II Exemplo de um CI com encapsulamento DIP. Diagrama do CI 74XX76. Esquema interno do protoboard e colocação do CI com ligações. Aula Prática Ensaio Um Flip-Flop JK a) Objetivo: Testar

Leia mais

ROTEIRO DE AULA PRÁTICA Nº 03

ROTEIRO DE AULA PRÁTICA Nº 03 ROTEIRO DE AULA PRÁTICA Nº 03 TÍTULO DA AULA PRÁTICA: Equipamentos e Resistores 1. PRÉ-REQUISITOS A partir desta aula, os circuitos elétricos serão tema de estudo no Laboratório de Física 2. Para testar

Leia mais

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014 Objetivos 7. Funções de Lógica Combinacional Fazer distinção entre meio-somadores e somadores-completos Usar somadores-completos para implementar somadores binários em paralelo Explicar as diferenças entre

Leia mais

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS E.T.M./2007 (adaptação) E.T.M./2011 (revisão) E.T.M./2012 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

APOSTILA DE ELETRÔNICA DIGITAL II

APOSTILA DE ELETRÔNICA DIGITAL II MINISTÉRIO DA EDUCAÇÃO INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SÃO PAULO CAMPUS DE PRESIDENTE EPITÁCIO APOSTILA DE ELETRÔNICA DIGITAL II Prof. Andryos da Silva Lemes Esta apostila é destinada

Leia mais

Laboratório de Circuitos Digitais 1

Laboratório de Circuitos Digitais 1 Universidade Estadual Paulista ampus de Sorocaba Laboratório de ircuitos Digitais 1 Experimento 03: Projeto e simulação de decodificador para display Experimento com atividade pré-aula Prof. lexandre da

Leia mais

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns P234 ONTAOR E UN Rev.2 Exemplo 2 de Projeto de ircuito íncrono ontador de Uns (Resumo elaborado por Edith Ranzini, a partir do exemplo extraído do livro GAJKI, ANIEL. Principles of igital esign - 997 com

Leia mais

Experiência 01: ACIONAMENTO DE MOTORES COM O INVERSOR DE FREQUÊNCIA. Objetivo Geral: - Acionar um motor elétrico através de um inversor de frequência.

Experiência 01: ACIONAMENTO DE MOTORES COM O INVERSOR DE FREQUÊNCIA. Objetivo Geral: - Acionar um motor elétrico através de um inversor de frequência. ( ) Prova ( ) Prova Semestral ( ) Exercícios ( ) Prova Modular ( ) Segunda Chamada ( ) Exame Final ( ) Prática de Laboratório ( ) Aproveitamento Extraordinário de Estudos Nota: Disciplina: Turma: Aluno

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 RESUMO Nesta experiência será implementado circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia para projeto estruturado

Leia mais

Capítulo VI Circuitos Aritméticos

Capítulo VI Circuitos Aritméticos Capítulo VI Circuitos Aritméticos Introdução No capítulo anterior estudamos a soma e subtração de números binários. Neste capítulo estudaremos como as operações aritméticas de soma e subtração entre números

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais Contadores prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Introdução Contadores são circuitos de natureza

Leia mais

INTEGRAÇÃO JAVA COM ARDUINO

INTEGRAÇÃO JAVA COM ARDUINO INTEGRAÇÃO JAVA COM ARDUINO Alessandro A. M. De Oliveira 3, Alexandre O. Zamberlan 3, Reiner F Perozzo 3, Rafael O. Gomes 1 ;Sergio R. H Righi 2,PecilcesP. Feltrin 2 RESUMO A integração de Linguagem de

Leia mais

a) 200Ω b) 95,24Ω c) 525Ω d) 43,48Ω e) 325Ω

a) 200Ω b) 95,24Ω c) 525Ω d) 43,48Ω e) 325Ω Questão 1: Um técnico em eletrônica combinou três resistores para formar um resistor que faltava na coleção, como indicado na figura. Qual é o valor da resistência que ele formou? 125Ω 100Ω 300Ω a) 200Ω

Leia mais

Protótipo de um túnel de vento com Controle de Vazão e Temperatura em ambiente LabVIEW

Protótipo de um túnel de vento com Controle de Vazão e Temperatura em ambiente LabVIEW Protótipo de um túnel de vento com Controle de Vazão e Temperatura em ambiente LabVIEW "O kit de desenvolvimento do LabVIEW mostrou-se uma excelente alternativa em relação às outras ferramenta de desenvolvimento

Leia mais

Sistemas Digitais Ficha Prática Nº 7

Sistemas Digitais Ficha Prática Nº 7 Departamento de Sistemas Digitais Ficha Prática Nº 7 Implementação de um conversor analógico/ digital tipo Flash: com Codificador e com Descodificador Grupo: Turma: Elementos do Grupo: 1. Introdução Os

Leia mais

UNIVERSIDADE FEDERAL DE CAMPINA GRANDE CENTRO DE ENGENHARIA ELÉTRICA E INFORMÁTICA UNIDADE ACADEMICA DE ENGENHARIA ELÉTRICA ELETRÔNICA

UNIVERSIDADE FEDERAL DE CAMPINA GRANDE CENTRO DE ENGENHARIA ELÉTRICA E INFORMÁTICA UNIDADE ACADEMICA DE ENGENHARIA ELÉTRICA ELETRÔNICA UNIVERSIDADE FEDERAL DE CAMPINA GRANDE CENTRO DE ENGENHARIA ELÉTRICA E INFORMÁTICA UNIDADE ACADEMICA DE ENGENHARIA ELÉTRICA ELETRÔNICA (1) Determine o valor da tensão na saída V o. LISTA DE EXERCICIOS

Leia mais

- Campus Salto. Disciplina: Sistemas de Arquivos Docente: Fernando Santorsula E-mail: fernandohs@ifsp.edu.br

- Campus Salto. Disciplina: Sistemas de Arquivos Docente: Fernando Santorsula E-mail: fernandohs@ifsp.edu.br Disciplina: Sistemas de Arquivos Docente: Fernando Santorsula E-mail: fernandohs@ifsp.edu.br Sistemas de Arquivos- Parte 2 Pontos importantes de um sistema de arquivos Vários problemas importantes devem

Leia mais

Programação Básica em Arduino Aula 7

Programação Básica em Arduino Aula 7 Programação Básica em Arduino Aula 7 Execução: Laboratório de Automação e Robótica Móvel Um capacitor ou condensador é um componente eletrônico composto por duas placas condutoras separadas por um material

Leia mais

Circuitos Aritméticos

Circuitos Aritméticos Circuitos Aritméticos Semi-Somador Quando queremos proceder à realização de uma soma em binário, utilizamos várias somas de dois bits para poderemos chegar ao resultado final da operação. Podemos, então,

Leia mais

Microprocessadores e Aplicações

Microprocessadores e Aplicações Microprocessadores e Aplicações Planificação das aulas teóricas e aulas práticas Ano Lectivo 2005/ 2006 Aula 1 Semana 26 a 30 de Setembro - Apresentação 1. Apresentação da disciplina aos alunos: a. Programa

Leia mais

MODELAGEM MATEMÁTICA DE UM SISTEMA DE DISTRIBUIÇÃO DE ENERGIA ELÉTRICA EM MÉDIA TENSÃO 1. Gabriel Attuati 2, Paulo Sausen 3.

MODELAGEM MATEMÁTICA DE UM SISTEMA DE DISTRIBUIÇÃO DE ENERGIA ELÉTRICA EM MÉDIA TENSÃO 1. Gabriel Attuati 2, Paulo Sausen 3. MODELAGEM MATEMÁTICA DE UM SISTEMA DE DISTRIBUIÇÃO DE ENERGIA ELÉTRICA EM MÉDIA TENSÃO 1 Gabriel Attuati 2, Paulo Sausen 3. 1 Parte integrante do Projeto de pesquisa Análise, Modelagem e Desenvolvimento

Leia mais

Interface com Displays de 7 Segmentos. Interface com Displays

Interface com Displays de 7 Segmentos. Interface com Displays Interface com Displays de 7 Segmentos Interface com Displays Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas maneiras: f e a g b c

Leia mais

Nota de Aplicação. Migração Altivar 58(F) para Altivar 71 com rede Profibus. Suporte Técnico Brasil. Versão: 1.0

Nota de Aplicação. Migração Altivar 58(F) para Altivar 71 com rede Profibus. Suporte Técnico Brasil. Versão: 1.0 Nota de Aplicação Migração Altivar 58(F) para Altivar 71 com rede Profibus Versão: 1.0 Suporte Técnico Brasil Especificações técnicas Hardware: Firmware: Altivar 58 N/A VW3A58307 N/A Altivar 71 N/A VW3A3307

Leia mais

CENTRO TECNOLÓGICO ESTADUAL PAROBÉ CURSO DE ELETRÔNICA

CENTRO TECNOLÓGICO ESTADUAL PAROBÉ CURSO DE ELETRÔNICA CENTRO TECNOLÓGICO ESTADUAL PAROBÉ CURSO DE ELETRÔNICA SISTEMAS ANALÓGICOS Prática: 4 Assunto: Amplificador Operacional - Parte IV Objetivos: Montar e testar as configurações de comparadores. Material

Leia mais

Placas Gráficas. Placas Gráficas. Placas Gráficas. Placas Gráficas. O que é? Para que serve? Resolução (cont.) Resolução

Placas Gráficas. Placas Gráficas. Placas Gráficas. Placas Gráficas. O que é? Para que serve? Resolução (cont.) Resolução O que é? Para que serve? -A função das placas gráficas é a de construir as imagens que são apresentadas nos monitores dos computadores. -O conteúdo dessa memória está sempre a ser actualizado pela placa

Leia mais

RESUMO TEÓRICO CONVERSORES DIGITAL/ANALÓGICO

RESUMO TEÓRICO CONVERSORES DIGITAL/ANALÓGICO RESUMO TEÓRICO CONERSORES DIGITAL/ANALÓGICO Baseado na apostila Conversor Digital/Analógico da disciplina PCS 2498 LABORATÓRIO DE PROCESSADORES II Autores: Andréa Massamyi Matsunaga e Maurício Ossamu Tsugawa

Leia mais

Processo de Desenvolvimento de Software

Processo de Desenvolvimento de Software Processo de Desenvolvimento de Software Programação Orientada a Objetos Prof. Francisco de Assis S. Santos, Dr. São José, 2015. Processo de Desenvolvimento de Software O desenvolvimento de software é uma

Leia mais

LISTA COMPLEMENTAR DE DAC E ADC DO LIVRO DO TOCCI

LISTA COMPLEMENTAR DE DAC E ADC DO LIVRO DO TOCCI LISTA COMPLEMENTAR DE DAC E ADC DO LIVRO DO TOCCI 10.2 Um DAC = 08bits Para o número = (100) 10 = 2V. Pede-se : (+179) 10 Para Saída Analógica = Entrada digital x passo = 179. 20mV = 3,58V F.S. = 5V e

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

Faculdades Integradas de Caratinga PROGRAMA DE DISCIPLINA ANO: 2012 SEMESTRE: 1º

Faculdades Integradas de Caratinga PROGRAMA DE DISCIPLINA ANO: 2012 SEMESTRE: 1º Faculdades Integradas de Caratinga PROGRAMA DE DISCIPLINA ANO: 2012 SEMESTRE: 1º I IDENTIFICAÇÃO Instituição: Faculdades Integradas de Caratinga Curso: Ciência da Computação Disciplina: Sistemas Lógicos

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Eletrônica Digital I Semestre: 2011/1 Turma: 6040522 Carga horária: 80 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A Unidade de Ensino Eletrônica Digital

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA EEL7011 ELETRICIDADE BÁSICA

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA EEL7011 ELETRICIDADE BÁSICA UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA EEL7011 ELETRICIDADE BÁSICA CIRCUITO PARA ACIONAMENTO DE LÂMPADA DE EMERGÊNCIA Equipe: Angela Crepaldi. Laís Pallaoro de Souza.

Leia mais

Introdução à Aritmética Modular. George Darmiton da Cunha Cavalcanti CIn - UFPE

Introdução à Aritmética Modular. George Darmiton da Cunha Cavalcanti CIn - UFPE Introdução à Aritmética Modular George Darmiton da Cunha Cavalcanti CIn - UFPE Introdução Em alguns problemas o interesse se concentra no resto da divisão entre dois números, por exemplo Que horas serão

Leia mais

Data Sheet FBEE Kit V05

Data Sheet FBEE Kit V05 Data Sheet FBEE Kit V05 IEEE 802.15.4 SUPORTA PROTOCOLOS ZIGBEE E MIWI REV 01 1 Rev01 1. INTRODUÇÃO Este capítulo faz uma introdução às características do kit de demonstração FBee Kit. Este capítulo discute:

Leia mais

Laboratório de Microprocessadores e Microcontroladores. Experimento 7: Conversor Analógico/Digital e Conversor Digital/Analógico

Laboratório de Microprocessadores e Microcontroladores. Experimento 7: Conversor Analógico/Digital e Conversor Digital/Analógico Escola de Engenharia Elétrica, Mecânica e de Computação Universidade Federal de Goiás Laboratório de Microprocessadores e Microcontroladores Experimento 7: Conversor Analógico/Digital e Conversor Digital/Analógico

Leia mais

Controle Remoto Ventilador

Controle Remoto Ventilador Controle Remoto Ventilador A ideia é controlar um ventilador comum de 3 velocidades (+ o estado de desligado) através do desenvolvimento de um controle remoto por infra vermelho. A cada clique no botão

Leia mais

CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS

CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS CAPÍTULO 2 SISTEMAS DE NUMERAÇÃO E CÓDIGOS Código BCD; Comparação entre BCD e Binário; Circuitos Digitais para BCD; Código Gray; Código ASCII; Detecção de erros pelo método de Paridade O que é um Código?

Leia mais

Prof. Adilson Gonzaga. Interface com Displays

Prof. Adilson Gonzaga. Interface com Displays Prof. Adilson Gonzaga Interface com Displays Interface com Displays de 7 Segmentos 2 Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas

Leia mais

Q(A, B, C) =A.B.C + A.B.C + A.B.C + A.B.C + A.B.C + A.B.C. m(1, 2, 3, 6) T (A, B, C, D) =A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.

Q(A, B, C) =A.B.C + A.B.C + A.B.C + A.B.C + A.B.C + A.B.C. m(1, 2, 3, 6) T (A, B, C, D) =A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C. Módulo Representação de sistemas digitais e implementação com componentes TTL Objectivos Pretende-se que o aluno compreenda o relacionamento entre a representação por tabelas e por expressões booleanas.

Leia mais

Profª Danielle Casillo

Profª Danielle Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Automação e Controle Aula 09 Linguagem LadderSistemas Profª Danielle Casillo Sistemas Os diagramas lógicos estudados anteriormente

Leia mais

BLOCOS DE FUNÇÃO. Figura 1 - Tela de programação com ambiente selecionado para Bloco de Funções

BLOCOS DE FUNÇÃO. Figura 1 - Tela de programação com ambiente selecionado para Bloco de Funções BLOCOS DE FUNÇÃO Desde que as primeiras linguagens de programação foram desenvolvidas, houve um considerável avanço em termos de facilitação para que o usuário pudesse escrever mais rapidamente o programa

Leia mais

p. 1/2 Resumo Multivibradores Biestáveis Multivibradores Astáveis Multivibradores Monoestáveis Circuito integrado temporizador - 555

p. 1/2 Resumo Multivibradores Biestáveis Multivibradores Astáveis Multivibradores Monoestáveis Circuito integrado temporizador - 555 p. 1/2 Resumo Multivibradores Biestáveis Multivibradores Astáveis Multivibradores Monoestáveis Circuito integrado temporizador - 555 p. 2/2 Multivibradores Os circuitos geradores de forma de ondas não

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

Informática Aplicada

Informática Aplicada Informática Aplicada Aula 1 Introdução Diogo Pinheiro Fernandes Pedrosa Departamento de Ciências Exatas e Naturais Universidade Federal Rural do Semi-Árido Introdução Informática informação aplicada; Pressupõe

Leia mais

DISPOSITIVOS OPTOELETRÔNICOS Leds e Fotodiodos

DISPOSITIVOS OPTOELETRÔNICOS Leds e Fotodiodos DISPOSITIVOS OPTOELETRÔNICOS Leds e Fotodiodos OBJETIVOS: Analisar o funcionamento de um acoplador optoeletrônico e a performance dos dispositivos emissores de luz (leds). INTRODUÇÃO TEÓRICA A optoeletrônica

Leia mais

Relatório Técnico: Descrição do algoritmo para pesquisa automática dos egressos do curso de Ciência da Computação

Relatório Técnico: Descrição do algoritmo para pesquisa automática dos egressos do curso de Ciência da Computação Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Departamento de Ciências da Computação Laboratório de Engenharia de Software Relatório Técnico: Descrição do algoritmo

Leia mais

Professor: André Rabelo Curso: Engenharia da Computação Disciplina: Lógica Digital Período: 3º Data Entrega: 21/03/2012 Valor: 15 pts Objetivos:

Professor: André Rabelo Curso: Engenharia da Computação Disciplina: Lógica Digital Período: 3º Data Entrega: 21/03/2012 Valor: 15 pts Objetivos: Professor: André Rabelo Curso: Engenharia da Computação Disciplina: Lógica Digital Período: 3º Data Entrega: 21/03/2012 Valor: 15 pts Objetivos: Pesquisar e aprofundar os conhecimentos em Lógica Digital

Leia mais

entre a entrada e a saída, resultado que à primeira vista poderia parecer destituído de aplicação prática.

entre a entrada e a saída, resultado que à primeira vista poderia parecer destituído de aplicação prática. 3 Circuitos com AmpOps As montagens inversora e não - inversora são utilizadas numa infinidade de aplicações de processamento de sinal, designadamente de amplificação, filtragem, retificação de sinais,

Leia mais

Circuitos Lógicos Aula 3

Circuitos Lógicos Aula 3 Circuitos Lógicos Aula 3 Aula passada Apresentação Logística Sistemas digitais Aula de hoje Sistemas analógicos e digitais Representação binária Sinais digitais Circuito Representação Numérica Como medir

Leia mais

Questões selecionadas

Questões selecionadas Questões selecionadas PARTE 1: CIRCUITOS COMBINACIONAIS 1) Converta para as bases que se pede: a.1) 3,25 (10) + 1101,1 (2) = (2) a.2)* 0010111 (2) + 1011111 (2) = (10) a.3) 723 (8) = (10) a.4) 489 (10)

Leia mais

Motivação Este trabalho apresenta o desenvolvimento do controle da interatividade num sistema para a área de computação gráfica, mais especificamente

Motivação Este trabalho apresenta o desenvolvimento do controle da interatividade num sistema para a área de computação gráfica, mais especificamente Viabilização da Análise de Interação em um Software Colaborativo para Modelagem de Objetos 3D Eduardo Barrére, Ana Luiza Dias e Claudio Esperança Motivação Este trabalho apresenta o desenvolvimento do

Leia mais

Introdução. Display de sete segmentos

Introdução. Display de sete segmentos Código do Laboratório: AP08 Data: 30/04/2009 Nomes: Bruno Jurkovski Cartão número 172865 Marcos Vinicius Cavinato Cartão número 171774 Turma D Introdução A aula prática de 30/04/2009 consiste das seguintes

Leia mais

Microcontroladores e Microprocessadores. Conversão de Bases Prof. Samuel Cavalcante

Microcontroladores e Microprocessadores. Conversão de Bases Prof. Samuel Cavalcante Microcontroladores e Microprocessadores Conversão de Bases Prof. Samuel Cavalcante Conteúdo Conversão de Qualquer base para Decimal Decimal para Binário Hexadecimal para binário Componentes básicos de

Leia mais

ATENÇÃO: A partir da amostra da aula, terá uma idéia de onde o treinamento de eletroeletrônica poderá lhe levar.

ATENÇÃO: A partir da amostra da aula, terá uma idéia de onde o treinamento de eletroeletrônica poderá lhe levar. ATENÇÃO: O material a seguir é parte de uma das aulas da apostila de MÓDULO 4 que por sua vez, faz parte do CURSO de ELETRO ANALÓGICA -DIGITAL que vai do MÓDULO 1 ao 4. A partir da amostra da aula, terá

Leia mais

Eletrônica Aula 04 - transistor CIN-UPPE

Eletrônica Aula 04 - transistor CIN-UPPE Eletrônica Aula 04 - transistor CIN-UPPE Transistor O transistor é um dispositivo semicondutor que tem como função principal amplificar um sinal elétrico, principalmente pequenos sinais, tais como: Sinal

Leia mais

EMENTA. Curso de Projetos Microcontrolados utilizando Linguagem C.

EMENTA. Curso de Projetos Microcontrolados utilizando Linguagem C. EMENTA Curso de Projetos Microcontrolados utilizando Linguagem C. Descrição dos Cursos A Hit Soluções Tecnológicas vem através deste documento apresentar a proposta de dois cursos de projetos baseados

Leia mais

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A FLIP FLOPS M-1113A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Objetivos... 2 2.

Leia mais

Diodo e Ponte Retificadora

Diodo e Ponte Retificadora Capítulo 5 Diodo e Ponte Retificadora 5.1 Introdução Diodo semicondutor é um componente eletrônico composto de cristal semicondutor de silício ou germânio numa película cristalina cujas faces opostas são

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO:

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADOR SÍNCRONO O objetivo desse projeto extra é aplicar os conceitos vistos em aula teórica

Leia mais

CIRCUITO INTEGRADO 555

CIRCUITO INTEGRADO 555 CIRCUITO INTEGRADO 555 1-INTRODUÇÃO O circuito integrado 555 foi lançado no mercado por volta de 1973 e de lá para cá seu campo de aplicação foi expandido de maneira assustadora. Normalmente o CI 555 se

Leia mais

DATA Shield VISÃO GERAL

DATA Shield VISÃO GERAL DATA Shield VISÃO GERAL O desenvolvimento deste Shield se deve ao fato de não existir no mercado um shield para o Arduino que forneça recursos semelhantes. É possível encontrar Shields que trazem separadamente

Leia mais

CARGA E DESCARGA DE CAPACITORES

CARGA E DESCARGA DE CAPACITORES CARGA E DESCARGA DE CAPACITORES Introdução O capacitor é um componente eletrônico constituído de duas placas condutoras de corrente elétrica separadas por um material isolante denominado de dielétrico

Leia mais

PROJETO Speed Control

PROJETO Speed Control PONTIFÍCIA UNIVERSIDADE CATÓLICA DO PARANÁ Centro de Ciências Exatas e de Tecnologia CCET PROJETO Speed Control FELIPE QUEIROLO BUCH GREGORY MORO PUPPI WANDERLEY Curitiba - PR 2009 PONTIFÍCIA UNIVERSIDADE

Leia mais

Manual do usuário - IPD Cabine v2.0. Frente. Verso. IPD de Cabine v2.0 Manual do usuário. www.vwsolucoes.com Copyright 2016 - VW Soluções

Manual do usuário - IPD Cabine v2.0. Frente. Verso. IPD de Cabine v2.0 Manual do usuário. www.vwsolucoes.com Copyright 2016 - VW Soluções Frente Verso IPD de Cabine v2.0 Manual do usuário Apresentação O Kit IPD Cabine v2.0 possui oito entradas para ligar os botões de chamadas e oito saídas para ligar os leds que irão iluminar os seus botões

Leia mais

Metodologias de Programação

Metodologias de Programação Metodologias de Programação Bloco 1 José Paulo 1 Formador José António Paulo E-mail: questoes@netcabo.pt Telemóvel: 96 347 80 25 Objectivos Iniciar o desenvolvimento de raciocínios algorítmicos Linguagem

Leia mais

Laboratório de Robótica XT95

Laboratório de Robótica XT95 Laboratório de Robótica XT95 O XT 95 é o kit mais avançado da linha XT, contendo todos os componentes das linhas anteriores. Se com as linhas anteriores você já pudia criar muitos projetos, com essa linha

Leia mais

LINHAS MESTRAS; FASES; DISCIPLINAS; PRINCÍPIOS E MELHORES PRÁTICAS.

LINHAS MESTRAS; FASES; DISCIPLINAS; PRINCÍPIOS E MELHORES PRÁTICAS. INTRODUÇÃO O processo de engenharia de software define quem faz o quê, quando e como para atingir um determinado objetivo. Neste trabalho, iremos dissertar sobre o Rational Unified Process, ou RUP, que

Leia mais

Circuito de Controle do Virador de Locomotivas

Circuito de Controle do Virador de Locomotivas Circuito de Controle do Virador de Locomotivas O objetivo deste Tutorial é o de fornecer uma explicação em linhas gerais e básicas do funcionamento desse circuito. Caso alguém queira mais detalhes técnicos

Leia mais

Aparelhos de Laboratório de Electrónica

Aparelhos de Laboratório de Electrónica Aparelhos de Laboratório de Electrónica Este texto pretende fazer uma introdução sucinta às características fundamentais dos aparelhos utilizados no laboratório. As funcionalidades descritas são as existentes

Leia mais

Regulador Analógico de Posição Tipo VT-MACAS

Regulador Analógico de Posição Tipo VT-MACAS RP 30 050/03.04 Substitui: 11.02 Regulador Analógico de Tipo VT-MACAS Série 1X Tipo VT-MACAS Índice Características Conteúdo Características Dados para pedido Placa frontal Diagrama de blocos Dados técnicos

Leia mais

Finalizado a soldagem dos resistores, vire a placa e corte os excessos de terminais com um alicate de corte pequeno.

Finalizado a soldagem dos resistores, vire a placa e corte os excessos de terminais com um alicate de corte pequeno. Manual de Montagem e Operação KIT-002N. Por favor, visite a página Garantia. 1 Verificação dos componentes na embalagem. Os seguintes componentes e quantidades deverão ser encontrados (total 29): 1 X Placa

Leia mais

ESCOLA TÉCNICA FEDERAL DE SANTA CATARINA UNIDADE DE ENSINO DE SÃO JOSÉ

ESCOLA TÉCNICA FEDERAL DE SANTA CATARINA UNIDADE DE ENSINO DE SÃO JOSÉ ESCOLA TÉCNICA FEDERAL DE SANTA CATARINA UNIDADE DE ENSINO DE SÃO JOSÉ CARGA E DESCARGA DE CAPACITORES JAIR LÍBERO CADORIN Professor da UNED/SJ São José, Junho de 2001 Resumo Neste trabalho desenvolvemos

Leia mais

TEOREMAS DE THÉVENIN E NORTON http://www.ezuim.com/downloads.html

TEOREMAS DE THÉVENIN E NORTON http://www.ezuim.com/downloads.html TEOREMAS DE THÉVENIN E NORTON http://www.ezuim.com/downloads.html THÉVENIN O teorema de Thévenin estabelece que qualquer circuito linear visto de um ponto, pode ser representado por uma fonte de tensão

Leia mais

Controle de um sistema Bola- Barra com realimentação através de imagem

Controle de um sistema Bola- Barra com realimentação através de imagem Controle de um sistema Bola- Barra com realimentação através de imagem "A utilização do programa LabVIEW e da placa de aquisição e geração de sinais da National Instruments DAQ6024M possibilitaram a implementação

Leia mais

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br

Circuitos Digitais Contadores. Orivaldo Santana Jr. ovsj@cin.ufpe.br Circuitos Digitais Contadores Orivaldo Santana Jr. ovsj@cin.ufpe.br Roteiro Introdução Contadores Assíncronos Crescentes Contadores Assíncronos Decrescentes Contador Assíncrono Crescente/Decrescente Introdução

Leia mais

Princípios Básicos de CLP

Princípios Básicos de CLP Princípios Básicos de CLP Conceitos de CLP C : Controlador L : Lógico P : Programável Equipamento dedicado que surgiu como opção para a substituição e simplificação de ligações físicas (fios e relés) nos

Leia mais

Arquitetura TCP/IP. Apresentado por: Ricardo Quintão

Arquitetura TCP/IP. Apresentado por: Ricardo Quintão Arquitetura TCP/IP Apresentado por: Ricardo Quintão Roteiro Conexões Inter-redes Serviço Universal Rede Virtual (inter-rede ou internet) Protocolos para ligação inter-redes (TCP/IP) Divisão em camadas

Leia mais

Parte 05 - Técnicas de programação (mapas de Veitch-Karnaugh)

Parte 05 - Técnicas de programação (mapas de Veitch-Karnaugh) Parte 05 - Técnicas de programação (mapas de Veitch-Karnaugh) Mapas de Veitch-Karnaugh Montar circuitos lógicos a partir de tabela verdade, embora seja tarefa fácil, geral um circuito extremamente grande.

Leia mais

Documento de Requisitos do Sistema SISFOTO Sistema de gerenciamento de eventos fotográficos Versão 1.0

Documento de Requisitos do Sistema SISFOTO Sistema de gerenciamento de eventos fotográficos Versão 1.0 SISFOTO Sistema de Gerenciamento de Eventos Fotográficos do Sistema SISFOTO Sistema de gerenciamento de eventos fotográficos Versão 1.0 Histórico de Alterações Data Versão Descrição Autor 17/10/2014 1.0

Leia mais

TEOREMAS DE THÉVENIN E NORTON

TEOREMAS DE THÉVENIN E NORTON TEOREMAS DE THÉVENIN E NORTON THÉVENIN O teorema de Thévenin estabelece que qualquer circuito linear visto de um ponto, pode ser representado por uma fonte de tensão (igual à tensão do ponto em circuito

Leia mais

Objetivo: Levantamento de pontos da curva temporizada

Objetivo: Levantamento de pontos da curva temporizada Tutorial de Teste Tipo de Equipamento: Relé de Proteção Marca: ABB Modelo: SPAM 150 C Funções: 46 ou PIOC Desbalanço de Corrente Instantâneo e 46 ou PTOC Desbalanço de Corrente Temporizado Ferramenta Utilizada:

Leia mais

Universidade Federal de Uberlândia Faculdade de Computação

Universidade Federal de Uberlândia Faculdade de Computação Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 7 Introdução aos Circuitos Codificadores e Decodificadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais

Leia mais

Introdução à Informática. Alexandre Meslin

Introdução à Informática. Alexandre Meslin Introdução à Informática Alexandre Meslin (meslin@nce.ufrj.br) Organização da Memória Conceito de hierarquia de memória Memória principal e memórias secundárias Projeto lógico da memória principal Memórias

Leia mais

III. Representações das portas lógicas (recordação): Figura 1: Símbolos padronizados e alternativos para várias portas lógicas e para o inversor 2

III. Representações das portas lógicas (recordação): Figura 1: Símbolos padronizados e alternativos para várias portas lógicas e para o inversor 2 CIRCUITOS LÓGICOS COMBINACIONAIS I. Objetivos: Realizar os passos necessários para obter uma expressão do tipo soma-de-produtos com o objetivo de projetar um circuito lógico na sua forma mais simples.

Leia mais

Projeto e implementação de um sistema de levitação magnética microcontrolado

Projeto e implementação de um sistema de levitação magnética microcontrolado Projeto e implementação de um sistema de levitação magnética microcontrolado "O projeto desenvolvido representa a integração de diversas ferramentas da Plataforma LabVIEW demonstrando todas as etapas do

Leia mais

Guia de instalação. Página 1 de 14

Guia de instalação. Página 1 de 14 Guia de instalação Página 1 de 14 Página 2 de 14 Conteúdo 1.0. Conhecendo o terminal... 3 1.1.1. Descrição dos botões... 3 1.1.2. Ligando o terminal... 4 1.1.3. Alarmes técnicos... 4 1.1.4. Componentes

Leia mais

Fotocélulas Speed Test - Cefise

Fotocélulas Speed Test - Cefise Fotocélulas Speed Test - Cefise O sistema Speed Test pode ser utilizado em diversos testes de corrida/velocidade. Exemplo de protocolos possíveis: * Aceleração em 30, 50, 70, 100 metros com ou sem intervalos

Leia mais

CURSO DE INSTRUMENTAÇÃO. Erros de Medição. Cedtec 2007/2. Sem equivalente na Apostila 1 Pressão e Nível

CURSO DE INSTRUMENTAÇÃO. Erros de Medição. Cedtec 2007/2. Sem equivalente na Apostila 1 Pressão e Nível CURSO DE INSTRUMENTAÇÃO Erros de Medição Cedtec 2007/2 Sem equivalente na Apostila 1 Pressão e Nível DEFINIÇÕES Valor Real (Vr) O valor real de uma grandeza física é aquele aceito por todo mundo como sendo

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Evolução e Desempenho dos Computadores Slide 1 Conceitos Arquitetura do Computador Refere-se aos atributos que são visíveis para o programador. Ex: conjunto

Leia mais

Aula 01. Breve História dos Computadores Informatiquês O Computador Software vs. Hardware. Introdução à Informática. Prof. Fábio Nelson.

Aula 01. Breve História dos Computadores Informatiquês O Computador Software vs. Hardware. Introdução à Informática. Prof. Fábio Nelson. Aula 01 Breve História dos Computadores Informatiquês O Computador Software vs. Hardware Slide 1 de Qual é a origem etimológica da palavra COMPUTADOR? Computador procede do latim computatore. Ao pé da

Leia mais

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS

DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS DATA: HORÁRIO DE ENTRADA: HORÁRIO DE SAÍDA: BANCADA: RGM: NOMES DOS COMPONENTES DO GRUPO DE TRABALHO: PROJETO - CONTADORES ASSÍNCRONOS O objetivo desse projeto extra é aplicar os conceitos vistos em aula

Leia mais

Sistemas Numéricos. Tiago Alves de Oliveira

Sistemas Numéricos. Tiago Alves de Oliveira Sistemas Numéricos Tiago Alves de Oliveira Sumário Sistemas Numéricos Binário Octal Hexadecimal Operações aritméticas binária e hexadecimal Operações lógicas binárias e decimais Representação Interna de

Leia mais

Plano de Trabalho Docente 2015. Ensino Técnico

Plano de Trabalho Docente 2015. Ensino Técnico Plano de Trabalho Docente 2015 Ensino Técnico Etec Etec: PAULINO BOTELHO Código: 091 Município:São Carlos Eixo Tecnológico: Controle e Processos Industriais Habilitação Profissional: Técnico em Mecatrônica

Leia mais