Multiplicador Binário com Sinal

Tamanho: px
Começar a partir da página:

Download "Multiplicador Binário com Sinal"

Transcrição

1 Multiplicador Binário com Sinal Edson T. Midorikawa/2010 RESUMO Nesta experiência será implementado circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia para projeto estruturado de sistemas digitais apresentada em experiências anteriores. A parte experimental será desenvolvida com o auxílio da ferramenta de software Quartus II da Altera e depois implementada com o dispositivo lógico Altera EPM7128SLC PARTE TEÓRICA 1.1. Revisão sobre Multiplicação Binária Na experiência anterior, vimos como a operação de multiplicação binária pode ser implementada para números sem sinal. Baseado na descrição da operação de multiplicação, pudemos desenvolver um circuito digital que implementa sua funcionalidade. A figura 1.1 mostra um diagrama de blocos do circuito do multiplicador binário e a figura 1.2, uma possível implementação da unidade de controle. Figura Diagrama de blocos do multiplicador binário. O circuito relativo à implementação da unidade de controle e mostrado na figura 1.2 foi desenvolvido a partir do diagrama ASM do multiplicador binário (figura 1.3). O circuito da unidade de controle ilustrado segue o modelo registrador de estado e decodificador. Para mais informações sobre este e outros modelos possíveis de implementação, consulte as referências (Ranzini, 2004) e (Mano e Kime, 2004). Multiplicador Binário com Sinal (2010) 1

2 Figura Uma implementação da unidade de controle do multiplicador binário. A unidade de controle do multiplicador binário tem 3 estados (PARADO, MUL0 e MUL1), e gera sinais de controle para o fluxo de dados para acionar seus componentes (registradores deslocadores A e Q, flipflop C e contador P) Figura Diagrama ASM da unidade de controle do multiplicador binário. Multiplicador Binário com Sinal (2010) 2

3 1.2. Multiplicação Binária com Sinal Quando consideramos números com sinal, o algoritmo usado na experiência anterior não pode ser aplicado. Considere a multiplicação de 11 ( ) com 13 ( ), onde obtemos o resultado 143 ( ). Se considerarmos estes valores binários como números em complemento de dois, teríamos a multiplicação de -5 ( ) com -3 ( ) resultando em -113 ( ), que é um valor diferente de 15 ( ). Para mais detalhes consulte (Stallings, 2002). Uma forma de levar em consideração o sinal dos operandos na operação de multiplicação binária é usar o algoritmo de Booth. A figura 1.4 mostra fluxograma para o algoritmo de Booth. INÍCIO A 0, Q -1 0 M multiplicando Q multiplicador Contador n = 10 Q 0,Q -1 = 01 A A M = 00 = 11 A A + M Deslocamento aritmético para a direita de A,Q,Q -1 Contador Contador -1 NÃO Contador = 0? SIM FIM Figura 1.4 Fluxograma do algoritmo de Booth. Convém apontar alguns aspectos a serem considerados no projeto do fluxo de dados do multiplicador binário com sinal: presença do bloco somador/subtrator; presença do flip-flop F à direita do multiplicador para armazenar Q -1 ; o deslocamento do acumulador deve ser aritmético (o que isto significa e o que muda no circuito em relação ao multiplicador sem sinal?); a decisão de soma ou subtração depende do bit menos significativo do multiplicador (Q 0 ) e do flip-flop F (Q -1 ). Estes sinais de estado são enviados à unidade de controle; a unidade de controle é bem parecida com a do multiplicador binário de números sem sinal. Multiplicador Binário com Sinal (2010) 3

4 A figura 1.5 mostra o diagrama de blocos básico do multiplicador binário com sinal. O flip-flop F mantém o dado que é identificado como Q -1 no fluxograma da figura 1.4. IN n registra_m Multiplicando M Contador P Detetor de Zero soma/subtrai Somador / Subtrador ZERO desloca desloca registra_q registra_a Acumulador A Multiplicador Q Q 0 Flip- Flop F Q -1 Unidade de Controle... OUT Sinais de controle Figura 1.5 Diagrama de blocos básico do multiplicador binário com sinal. Algumas dicas sobre o projeto do Multiplicador Binário com Sinal: 1) o bloco somador/subtrador pode ser facilmente projetado com um somador binário e portas OU EXCLUSIVO (XOR). O sinal que indica a operação (0=soma, 1=subtração) é conectado em uma das entrada das portas e também na entrada de vem-um do somador binário; 2) O sinal acima para soma ou subtração pode vir direto do bit menos significativo do registrador Q (sinal Q 0 ): quando Q 0 =0, deve ocorrer uma soma, e quando Q 0 =1, uma subtração. 3) Na unidade de controle do multiplicador binário sem sinal, era realizada a soma somente se o sinal Q 0 fosse igual a 1. Caso contrário, havia somente o deslocamento dos registradores. Na unidade de controle do multiplicador binário com sinal, se Q 0 F (ou seja, Q 0 F=1) ocorre a soma ou subtração, dependendo do valor de Q 0, e depois o deslocamento dos registradores. Caso Q 0 =F (ou seja, Q 0 F=0), deve ocorrer somente o deslocamento. Deste modo, acredito que a unidade de controle não deve ser mudada quanto a este aspecto. 4) Para mais informações sobre a metodologia de projeto a ser considerada consulte referência (Ranzini et al, 2002). Multiplicador Binário com Sinal (2010) 4

5 1.3. Exemplos do Algoritmo de Booth Ilustramos aqui vários exemplos de multiplicação binária para números em complemento de dois com representação binária de 4 bits. Sejam os números: 6 = 0110 e -6 = Nos exemplos abaixo, a legenda das tabelas indicam: acum. = acumulador A mult. = multiplicador Q F = flip-flop a direita do multiplicador (Q -1 ) a) 6 x 6 PASSO ACUM. MULT. F. Condição (Q 0,F) -> Ação > não faz nada shift aritmético > subtrai shift aritmético > não faz nada shift aritmético > soma shift aritmético fim resultado = -36 b) 6 x -6 PASSO ACUM. MULT. F. Condição (Q 0,F) -> Ação > não faz nada shift aritmético > subtrai shift aritmético > soma shift aritmético > subtrai shift aritmético fim resultado = -36 Multiplicador Binário com Sinal (2010) 5

6 c) 6 x 6 PASSO ACUM. MULT. F. Condição (Q 0,F) -> Ação > não faz nada shift aritmético > subtrai shift aritmético > não faz nada shift aritmético > soma shift aritmético fim resultado = 36 d) -6 x -6 PASSO ACUM. MULT. F. Condição (Q 0,F) -> Ação > não faz nada shift aritmético > subtrai shift aritmético > soma shift aritmético > subtrai shift aritmético fim resultado = 36 Multiplicador Binário com Sinal (2010) 6

7 2. PARTE EXPERIMENTAL Nesta experiência será desenvolvido um circuito baseado no multiplicador binário desenvolvido na experiência anterior. O projeto pode ser feito usando os componentes discretos básicos MSI e SSI ou com a linguagem VHDL Especificação do Multiplicador Binário com Sinal O circuito Multiplicador Binário com Sinal (MBcS) é responsável pela realização de uma multiplicação de dois números binários com sinal de 4 bits, introduzidos separadamente no circuito através de uma única via de dados (CH0 a CH3). A operação é iniciada com o acionamento do sinal INICIAR (botão B1), e o resultado da operação com 8 bits (OUT) deve ser conectado a dois displays de saída. Os operandos da multiplicação são especificados para o MBcS pelos sinais ENTRA_MULTIPLICANDO (CH6) e ENTRA_MULTIPLICADOR (CH7). O sinal PRONTO indica o final da multiplicação. Os sinais de entrada e saída do Multiplicador Binário são os seguintes: IN - via de dados de entrada, com quatro bits; INICIAR - sinal de controle utilizado iniciar a multiplicação; ENTRA_MULTIPLICANDO - especifica o multiplicando da operação; ENTRA_MULTIPLICADOR - especifica o multiplicador da operação; OUT - via de dados de saída, com oito bits; PRONTO indica final da operação. A figura 2.1 abaixo mostra o MBcS com os sinais descritos anteriormente: INICIAR ENTRA_MULTIPLICANDO ENTRA_MULTIPLICADOR IN[3:0] MBcS OUT[7:0] PRONTO Figura 2.1 Sinais de entrada e de saída do Multiplicador Binário com Sinal a ser desenvolvido. A operação do circuito deve seguir os seguintes passos: 1. Acertar um valor binário na vida de dados de entrada (IN); 2. Ativar o sinal ENTRA_MULTIPLICANDO; 3. Colocar outro valor na via de dados de entrada; 4. Ativar o sinal ENTRA_MULTIPLICADOR; 5. Acionar o botão INICIAR para a execução da multiplicação binária; 6. Verificar resultado na via de dados de saída (OUT, PRONTO). Caso se deseje repetir um valor anteriormente ajustado no circuito MBcS, os passos 1 e 2 ou os passos 3 e 4 podem ser ignorados, visto que um registrador interno deve manter os valores do multiplicador e do multiplicando da operação anterior. Multiplicador Binário com Sinal (2010) 7

8 DICAS: 1. Para auxiliar a depuração do circuito do MBcS, determine alguns sinais internos do circuito projetado para serem monitorados durante os testes e depuração do circuito. Estes sinais devem ser ligados nos leds disponíveis no painel de montagens. 2. Apresentar o diagrama ASM do circuito do MBcS, explicando os sinais de estado e de controle usados. 3. A depuração da máquina de estados da unidade de controle pode ser feita com uma indicação (sinal externo) do estado atual do circuito. 4. O fluxo de dados do projeto deve armazenar tanto o multiplicando como o multiplicador para poderem ser reaproveitados entre multiplicações consecutivas. Que alterações devem ser feitas no fluxo de dados apresentado na figura 1.5? 5. Trazer o arquivo do projeto para o Laboratório Digital (bdf ou vhd) Montagem e Depuração a) O projeto do MBcS deve ser programado na pastilha da placa MAX7-PCS e os sinais de entrada e saída devem ser conectados no painel de montagens experimentais (botões, chaves, leds e displays). b) Execute testes iniciais do circuito usando os casos de teste dos exemplos da seção Avaliação a) Elabore uma tabela contendo todos os códigos binários de 4 bits em complemento de dois e o seu respectivo valor equivalente. Por exemplo, o código 0001 é a representação do valor +1 e 1111 é a representação do valor -1. b) Escolha mais casos de teste para avaliar o correto funcionamento do MBcS. c) Execute os casos de teste escolhidos e avalie os resultados. Perguntas 1. Explique a diferença entre deslocamento e deslocamento aritmético. Exemplifique. 2. Como é implementado o deslocamento aritmético no fluxo de dados? 3. Decreva detalhadamente o funcionamento e a implementação da unidade de controle do MBcS. 4. Que fatores influenciaram na escolha do grupo no projeto do MBcS (componentes discretos ou VHDL)? Que vantagens e desvantagens vocês poderiam ressaltar para cada alternativa? Multiplicador Binário com Sinal (2010) 8

9 3. BIBLIOGRAFIA 1. MANO, M. M.; KIME, C. R. Logic and computer design fundamentals. 3 rd edition, New Jersey: Prentice-Hall, MIDORIKAWA, E.T. Lógica programável II. Apostila de Laboratório Digital. Escola Politécnica da USP, PARHAMI, B. Computer arithmetic: algorithms and hardware designs. Oxford: Oxford University Press, PATTERSON, D. A. & HENNESSY, J. L. Computer organization and design: the hardware/ software interface. 2nd edition, San Francisco: Morgan Kaufmann, RANZINI, E.; HORTA, E. L. Lógica programável. Apostila de Laboratório Digital. Escola Politécnica da USP, RANZINI, E.; HORTA, E. L.; MIDORIKAWA, E. T. Projeto de circuitos com MAX+PLUS II. Apostila de Laboratório Digital. Escola Politécnica da USP, RANZINI, E. Exemplo 1 de projeto de circuito síncrono: multiplicador binário. Resumo da disciplina PCS Projeto Lógico Digital. Escola Politécnica da USP, STALLINGS, W. Arquitetura e organização de computadores. 5ª edição, Prentice-Hall, EQUIPAMENTOS NECESSÁRIOS 1 fonte de alimentação fixa, 5V 5%, 4A. 1 osciloscópio digital. 1 multímetro digital. 1 gerador de pulsos. 1 painel de montagens experimentais. 1 placa MAX7-PCS, com cabo para interface paralela. 1 computador PC com programa Altera Quartus II. Histórico de Revisões E.T.M./2004 primeira versão da experiência. E.T.M./2010 revisão geral e atualização do texto. Multiplicador Binário com Sinal (2010) 9

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

Laboratório de Lógica Digital

Laboratório de Lógica Digital Laboratório de Lógica Digital Prática V Introdução Nesta aula iremos programar o código de um multiplicador de 4 bits contido no livro de Ordonez et al. (2003), encontrar o erro do código de multiplicação

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptação) E.T.M. e M.D.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Exemplo 1 de Projeto de Circuito Síncrono: Multiplicador Binário

Exemplo 1 de Projeto de Circuito Síncrono: Multiplicador Binário PS234 MULTIPLIAOR BINÁRIO Rev. Exemplo de Projeto de ircuito Síncrono: Multiplicador Binário Resumo elaborado por Edith Ranzini, a apartir do livro KIME, R; MANO, M.M. Logic and omputer esign Fundamentals.

Leia mais

Capítulo VI Circuitos Aritméticos

Capítulo VI Circuitos Aritméticos Capítulo VI Circuitos Aritméticos Introdução No capítulo anterior estudamos a soma e subtração de números binários. Neste capítulo estudaremos como as operações aritméticas de soma e subtração entre números

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns

Exemplo 2 de Projeto de Circuito Síncrono. Contador de Uns P234 ONTAOR E UN Rev.2 Exemplo 2 de Projeto de ircuito íncrono ontador de Uns (Resumo elaborado por Edith Ranzini, a partir do exemplo extraído do livro GAJKI, ANIEL. Principles of igital esign - 997 com

Leia mais

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2021/2308/2355 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS E.T.M./2007 (adaptação) E.T.M./2011 (revisão) E.T.M./2012 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais

Leia mais

Aritmética Computacional. Prof. Leonardo Barreto Campos 1

Aritmética Computacional. Prof. Leonardo Barreto Campos 1 Aritmética Computacional Prof. Leonardo Barreto Campos Sumário Introdução; Representação de Números Inteiros; Aritmética de Números Inteiros; Representação de Números de Ponto Flutuante; Aritmética de

Leia mais

Módulos Combinatórios

Módulos Combinatórios Arquitectura de Computadores I Engenharia Informática (11537) Tecnologias e Sistemas de Informação (6616) Módulos Combinatórios Nuno Pombo / Miguel Neto Arquitectura Computadores I 2014/2015 1 Somadores

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Circuitos Combinacionais Básicos

Circuitos Combinacionais Básicos ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Combinacionais Básicos Descrição VHDL prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 17 Circuitos combinacionais básicos

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A

FLIP FLOPS. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos M-1113A FLIP FLOPS M-1113A *Only illustrative image./imagen meramente ilustrativa./ Imagem meramente ilustrativa. EXPERIMENTS MANUAL Manual de Experimentos Manual de Experimentos Conteúdo 1. Objetivos... 2 2.

Leia mais

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111 USP - ICMC - SSC SSC 0111 (Lab ELD I) - 2o. Semestre 2011 Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111 1 Agosto 2011 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail.

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Aritmética Computacional Slide 1 Sumário Unidade Lógica e Aritmética Representação de Números Inteiros Representação de Números de Ponto Flutuante Aritmética

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

12 de Março de 2007 1. Aula 5

12 de Março de 2007 1. Aula 5 12 de Março de 2007 1 Multiplicação e divisão inteiras Aula 5 Estrutura desta aula Multiplicação básica Multiplicações melhoradas Multiplicação em complemento para 2 Algoritmo de Booth Divisão básica Ref:

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

Circuitos Aritméticos

Circuitos Aritméticos Circuitos Aritméticos Semi-Somador Quando queremos proceder à realização de uma soma em binário, utilizamos várias somas de dois bits para poderemos chegar ao resultado final da operação. Podemos, então,

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica e Eletrônica CURSO: Engenharia Eletrônica DISCIPLINA: Tópico Avançado em sistemas Digitais CÓDIGO: EEL7123 CRÉDITOS: 04 CARGA HORÁRIA: 72 horas-aula OFERTA: 15 vagas Engenharia

Leia mais

LÓGICA PROGRAMÁVEL II

LÓGICA PROGRAMÁVEL II LÓGICA PROGRAMÁVEL II Edson T. Midorikawa (2001) E.T.M./2002 (revisão) E.T.M./2004 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando HDLs

Leia mais

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL ÁLGEBRA BOOLEANA- LÓGICA DIGITAL LÓGICA DIGITAL Álgebra Booleana Fundamentação matemática para a lógica digital Portas Lógicas Bloco fundamental de construção de circuitos lógicos digitais Circuitos Combinatórios

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Figura 8: modelo de Von Neumann

Figura 8: modelo de Von Neumann 3. ORGANIZAÇÃO DE SISTEMA DE COMPUTADORES Olá, caro aluno! Neste capítulo vamos ver como são organizados os componentes que formam um sistema computacional. O conceito é histórico, mas é aplicado até os

Leia mais

Laboratório de Circuitos Digitais 1

Laboratório de Circuitos Digitais 1 Universidade Estadual Paulista ampus de Sorocaba Laboratório de ircuitos Digitais 1 Experimento 03: Projeto e simulação de decodificador para display Experimento com atividade pré-aula Prof. lexandre da

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

Sistemas Digitais II. Interface com o mundo analógico. Prof. Marlon Henrique Teixeira Abril/2014

Sistemas Digitais II. Interface com o mundo analógico. Prof. Marlon Henrique Teixeira Abril/2014 Sistemas Digitais II Interface com o mundo analógico Prof. Marlon Henrique Teixeira Abril/2014 Objetivos Compreender a teoria de funcionamento e as limitações dos circuitos de diversos tipos de conversores

Leia mais

Faculdades Integradas de Caratinga PROGRAMA DE DISCIPLINA ANO: 2012 SEMESTRE: 1º

Faculdades Integradas de Caratinga PROGRAMA DE DISCIPLINA ANO: 2012 SEMESTRE: 1º Faculdades Integradas de Caratinga PROGRAMA DE DISCIPLINA ANO: 2012 SEMESTRE: 1º I IDENTIFICAÇÃO Instituição: Faculdades Integradas de Caratinga Curso: Ciência da Computação Disciplina: Sistemas Lógicos

Leia mais

Projeto de Circuitos Aritméticos

Projeto de Circuitos Aritméticos Projeto de Circuitos Aritméticos E.T.M./2011 (adaptação) E.T.M./2012 (revisão) RESUMO Nesta experiência será desenvolvido um circuito aritmético usando a metodologia estruturada apresentada nas experiências

Leia mais

APOSTILA DE ELETRÔNICA DIGITAL II

APOSTILA DE ELETRÔNICA DIGITAL II MINISTÉRIO DA EDUCAÇÃO INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SÃO PAULO CAMPUS DE PRESIDENTE EPITÁCIO APOSTILA DE ELETRÔNICA DIGITAL II Prof. Andryos da Silva Lemes Esta apostila é destinada

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Organização e Arquitetura de Computadores I Evolução e Desempenho dos Computadores Slide 1 Conceitos Arquitetura do Computador Refere-se aos atributos que são visíveis para o programador. Ex: conjunto

Leia mais

CRONÔMETRO DIGITAL PROJETO

CRONÔMETRO DIGITAL PROJETO CRONÔMETRO DIGITAL PROJETO OBJETIVOS: a) Verificação do funcionamento dos contadores; b) Aplicabilidade de circuitos contadores; c) Verificação do funcionamento de um cronômetro digital. INTRODUÇÃO TEÓRICA

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

Arquitetura de Computadores - Operação da Unidade de Controle. por Helcio Wagner da Silva

Arquitetura de Computadores - Operação da Unidade de Controle. por Helcio Wagner da Silva Arquitetura de Computadores - Operação da Unidade de Controle por Helcio Wagner da Silva Microoperações Execução de programa... Ciclo de instrução Ciclo de instrução Ciclo de instrução Busca Indireto Execução

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008. Turma Projeto Lógico L Digital Edson T. Midorikawa 25/02/2008 Professor Edson Midorikawa edson.midorikawa@poli.usp.br Horário de atendimento: 6ª feira das 10h00 às 11h00 na sala C2-20 Turma 4 automação

Leia mais

MELHORAMENTO NO PROCESSADOR SIMPLES

MELHORAMENTO NO PROCESSADOR SIMPLES MELHORAMENTO NO PROCESSADOR SIMPLES Versão 2014 RESUMO Esta experiência tem como objetivo o desenvolvimento de um melhoramento no projeto de um núcleo de um processador simples. Na parte experimental este

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2013 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

SSC0112 Organização de Computadores Digitais I

SSC0112 Organização de Computadores Digitais I SSC2 Organização de Computadores Digitais I 4ª Aula Revisão de Lógica Digital Profa. Sarita Mazzini Bruschi sarita@icmc.usp.br Aula ministrada por Prof. Paulo Sergio Lopes de Souza Revisão de Lógica Digital

Leia mais

Capítulo 6. Aritmética Computacional. 6.1 Números com Sinal e Números sem Sinal

Capítulo 6. Aritmética Computacional. 6.1 Números com Sinal e Números sem Sinal 61 Capítulo 6 Aritmética Computacional As palavras de um computador são compostas por bits e podem representar números armazenados na memória. Estes números podem ter diferentes significados, como inteiros

Leia mais

Estruturas de Repetição

Estruturas de Repetição Estruturas de Repetição Lista de Exercícios - 04 Algoritmos e Linguagens de Programação Professor: Edwar Saliba Júnior Estruturas de Repetição O que são e para que servem? São comandos que são utilizados

Leia mais

TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS

TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS TECNOLOGIA EM MECATRÔNICA INDUSTRIAL CONTROLADORES LÓGICOS PROGRAMÁVEIS Autor: Prof. Heliliano Carlos Sartori Guedes prof.helilianoguedes@gmail.com

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Curso Superior de Sistemas de Telecomunicações Unidade São José Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase Bases tecnológicas Dispositivos Lógicos Programáveis. Introdução à Tecnologia

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS E.T.M./2006 E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do

Leia mais

Projetando um Computador Parte II Arquitetura do Processador BIP

Projetando um Computador Parte II Arquitetura do Processador BIP Projetando um Computador Parte II Arquitetura do Processador BIP Prof. Dr. Cesar Albenes Zeferino (zeferino@univali.br) Objetivo Projetando um Computador Parte II (2) Apresentar as noções do funcionamento

Leia mais

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014 Objetivos 7. Funções de Lógica Combinacional Fazer distinção entre meio-somadores e somadores-completos Usar somadores-completos para implementar somadores binários em paralelo Explicar as diferenças entre

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2015 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

Microcontroladores e Microprocessadores. Conversão de Bases Prof. Samuel Cavalcante

Microcontroladores e Microprocessadores. Conversão de Bases Prof. Samuel Cavalcante Microcontroladores e Microprocessadores Conversão de Bases Prof. Samuel Cavalcante Conteúdo Conversão de Qualquer base para Decimal Decimal para Binário Hexadecimal para binário Componentes básicos de

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

BC-0504 Natureza da Informação

BC-0504 Natureza da Informação BC-0504 Natureza da Informação Aulas 4 Sistemas de numeração. Operações em binário e algebra booleana. Equipe de professores de Natureza da Informação Santo André Julho de 2010 Parte 0 Realizar 6 problemas

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Caminho de Dados e Controle. Prof. Leonardo Barreto Campos 1

Caminho de Dados e Controle. Prof. Leonardo Barreto Campos 1 Caminho de Dados e Controle Prof. Leonardo Barreto Campos 1 Sumário Introdução; Convenções Lógicas de Projeto; Construindo um Caminho de Dados; O Controle da ULA; Projeto da Unidade de Controle Principal;

Leia mais

Arquitetura e Organização de Computadores. Profa. Débora Matos

Arquitetura e Organização de Computadores. Profa. Débora Matos Arquitetura e Organização de Computadores aula 1 Profa. Débora Matos Apresentação da disciplina CARGA HORÁRIA: 72H/A ANO/SEMESTRE: 2011/2 TURNO: NOITE PROFESSORA RESPONSÁVEL: DÉBORA MATOS COMPETÊNCIAS

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

Interface com Displays de 7 Segmentos. Interface com Displays

Interface com Displays de 7 Segmentos. Interface com Displays Interface com Displays de 7 Segmentos Interface com Displays Um Display de 7 segmentos é formado por 7 LED s (a,b,c,d,e,f,g) que são previamente encapsulados e conectados de duas maneiras: f e a g b c

Leia mais

Figura 1 - Somador para dois números de 4 bits com extensores lógicos (EL) e Aritméticos(EA).

Figura 1 - Somador para dois números de 4 bits com extensores lógicos (EL) e Aritméticos(EA). Projeto de uma Unidade Lógico-Aritmética (ULA) Uma unidade lógico-aritmética (ULA) é responsável pelas operações lógicas e aritméticas básicas num processador. As operações aritméticas tipicamente realizadas

Leia mais

Projetando um Computador

Projetando um Computador Objetivo Projetando um Computador Parte II (2) Projetando um Computador Parte II Arquitetura do Processador BIP Apresentar as noções do funcionamento de um computador através da descrição da arquitetura

Leia mais

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO

CONTROLE PARA SEMÁFOROS DE UM CRUZAMENTO PARA SEMÁFOROS DE UM CRUZAMENTO Versão 2014 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes de

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

LISTA COMPLEMENTAR DE DAC E ADC DO LIVRO DO TOCCI

LISTA COMPLEMENTAR DE DAC E ADC DO LIVRO DO TOCCI LISTA COMPLEMENTAR DE DAC E ADC DO LIVRO DO TOCCI 10.2 Um DAC = 08bits Para o número = (100) 10 = 2V. Pede-se : (+179) 10 Para Saída Analógica = Entrada digital x passo = 179. 20mV = 3,58V F.S. = 5V e

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) RESUMO Esta experiência tem por objetivo

Leia mais

Circuito de Aritmética Decimal

Circuito de Aritmética Decimal Circuito de Aritmética Decimal Versão 2017 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits. Circuitos aritméticos integrados, como

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Interconexão do Computador Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Material do prof. Sílvio Fernandes -

Leia mais