Arquitectura de Computadores I. Sistemas Digitais Sequenciais

Tamanho: px
Começar a partir da página:

Download "Arquitectura de Computadores I. Sistemas Digitais Sequenciais"

Transcrição

1 istemas igitais equenciais António M. Gonçalves Pinheiro epartamento de Fï sica Covilhã - Portugal pinheiro@ubi.pt

2 Circuitos Biestáveis ( Latches") - epresenta o próximo Biestável com NAN EET" EET" ET" ET" Manutenção Manutenção - epresenta o próximo activo em EETt t

3 Circuitos Biestáveis ( Latches") Circuito Biestável ( Latch") - Circuito com capacidade de armazenamento de um bit Biestável com NAN EET" EET" ET" ET" Manutenção Manutenção - epresenta o próximo Não usado EET" ET" Manutenção activo em ETt t activo em EETt t

4 Circuitos Biestáveis ( Latches") Biestável com NO Manutenção Manutenção ET" ET" EET" EET" - epresenta o próximo Manutenção ET" EET" Não usado activo em ETt t activo em EETt t

5 Circuitos Biestáveis ( Latches") Biestável controlado EN Manutenção Manutenção ET" EET" (não usado) EN - epresenta o próximo EN EN EN

6 FLIP-FLOP Flip-Flops - armazenam um bit O bit é armazenado num Flip-Flop quando existe transição de nível lógico da entrada de controlo, usualmente chamada CLO. ois tipos de Flip Flops: - Edge Trigered - Master lave Flip-Flop tipo n+ n C

7 FLIP-FLOP Flip-Flop tipo JK J K n+ n n n J K J C K Flip-Flop tipo T T n+ T n n n T C

8 Terminais Assíncronos: - - eset = = - - et = = FLIP-FLOP com Entradas Assíncronas C J K J C K T T C n+ n J K n+ n n n T n+ n n n

9 Exemplo de circuito: Contador em anel torcido FLIP-FLOP C C C

10 Arquitectura de Computadores I FLIP-FLOP Exemplo de circuito: Contador em anel torcido - Análise (Módulo = N) C C C = = = 3 Estado 3 4 5

11 Controladores igitais Controladores igitais: Circuitos digitais sequenciais síncronos que estabelecem sequências temporais de acordo com entradas de controlo. Controlador Genérico: Entrada Lógica Combinacional aída Estado Memória (Flip-Flops) Neste curso são estudados os controladores sequenciais com um Flip-Flop por estado. Este tipo de controladores têm como principal vantagem, a grande simplicidade de projecto.

12 Controladores igitais Exemplo de Controladores igital com um Flip-Flop por estado: Projecte um circuito que estabeleça a seguinte sequência de controlo num sistema de luzes com uma lâmpada Vermelha, Azul e Verde: O sistema tem uma variável M que controla a sequência. da seguinte forma: e M= = Vermelho Verde+Azul (Tudo apagado) (Volta ao princípio) e M= = Vermelho Verde Azul (Volta ao princípio)

13 Controladores igitais Exemplo de Controladores igital com um Flip-Flop por estado: Projecte um circuito que estabeleça a seguinte sequência de controlo num sistema de luzes com uma lâmpada Vermelha, Azul e Verde: O sistema tem uma variável M que controla a sequência. da seguinte forma: e M= e M= Vermelho Verde+Azul (Tudo apagado) (Volta ao princípio) Vermelho Verde Azul (Volta ao princípio) Fluxograma Estabelece a sequência de controlo pretendida Vermelho M? Verde, Azul 4 Verde 3 Azul 5

14 Controladores igitais Exemplo de Controladores igital com um Flip-Flop por estado: Projecte um circuito que estabeleça a seguinte sequência de controlo num sistema de luzes com uma lâmpada Vermelha, Azul e Verde: O sistema tem uma variável M que controla a sequência da seguinte forma: e M= e M= Vermelho Verde+Azul (Tudo apagado) (Volta ao princípio) Vermelho Verde Azul (Volta ao princípio) Controlador igital equencial Estado α i aída σ α C σ Vermelho α M Verde, Azul M? 3 4 Verde Azul 5 Interrogação União M? γ β α σ γ α α α σ γ γ β aída i aída σ i aída

15 Fluxograma Vermelho Controladores igitais Controlador igital equencial α Verde, Azul M? 4 Verde Estado i aída σ α α C σ M 3 Azul 5 Interrogação M? γ β α γ β Circuito União α γ σ α σ γ Vcc M Vermelho α i aída 3 C C C Verde Azul aída σ i aída INIC INIC 4 5 C C INIC

16 Controladores igitais Fluxograma Verde, Azul Vermelho M? 4 Verde INIC M =Vermelho 3 3 Azul Circuito Verde Azul Vcc M Vermelho 3 C C C Verde Azul INIC 4 5 C C

17 Controladores igitais Exemplo de Controlador Projecte um controlador digital para o aparelho de tirar cafés da figura. Consoante a moeda que entra vão ser activadas as variáveis e de acordo com a tabela. Assim, a máquina aceita moedas de cêntimos e 5 cêntimos. ualquer outra moeda é devolvida, e um depósito de moedas de cêntimos está disponível para permitir dar troco. O custo de cada Café é cêntimos e quando uma moeda de 5 cêntimos é introduzida, a máquina de café deve produzir dois cafés e dar o respectivo troco. Além disso estão disponíveis as seguintes variáveis de controlo: ec - Activa a possibilidade de recolha de moeda ev - Activa a devolução da moeda introduzida T - Activa a devolução de uma moeda de cêntimos ai - Activa a saída do Café Caf - Activa a produção de um café Para controlar o tempo de produção de um café o sistem disponibiliza uma variável lógica caf que quando a UM lógico define que o café já está pronto. ec ev T ai Caf ignificado Moeda de. Moeda de.5 Moeda. e.5 Nenhuma Moeda caf

18 Fluxograma Controladores igitais ec??? ev T 3 Caf 6 Caf 4 caf? caf? ai 7 ai 5

19 Controladores igitais Circuito caf ec Vcc C Vcc C 6 Vcc 7 C Caf ai INIC Vcc 3 C Vcc 4 C Vcc 5 C T Vcc C ev

20 Controladores Aritméticos Arquitectura M M M B A ALU F eg. α eg. β α W α β W β eg. Flags F F Z F Ov Acumulador WA A M M M F A+B A-B A+ A- A.B A+B A+B A

21 Arquitectura Controladores Aritméticos M M M B A ALU F eg. α eg. β α W α β W β Controlador que faça a seguinte operação: α = { β se α β α β se α < β eg. Flags F F Z F Ov Acumulador WA A M M M F A+B A-B A+ A- A.B A+B A+B A α W A M β W A 3 > Αcc α > Αcc Αcc β > Αcc F - Flag de inal (Bit mais significativo do Acumulador). F Z - Flag de Zero (=F N +...+F +F ). F Ov - Flag de Overflow"(=C N C N ). N - imensão da palavra binária da arquitectura. F A W 4 5 α β W α?

22 Arquitectura de Computadores I Contadores Contadores: Circuitos digitais sequenciais síncronos que contam o número de ciclos de relógio Circuito contador módulo N : J C J K K J C J K K J C J K K J C J K K "" = J = K = J = 3 K =

23 Contadores Integrados Contadores Binários Módulo 4 69 Up/own LOA/COUNT UP/OWN ENT ENP CLK 69 CTIV6 M M M3 M4 3CT=5 G5 4CT= G6,3,5,6 +/C7,4,5,6 - CO A B C,7 [] [] [4] [8] A B C

24 Contadores Integrados Contadores Binários Módulo 4 69 Up/own 69 LOA/COUNT UP/OWN ENT ENP CLK CTIV6 M M M3 M4 3CT=5 G5 4CT= G6,3,5,6 +/C7,4,5,6 - CO UP,3,5,6 +/C7,4,5,6 - A B C,7 [] [] [4] [8] A B C OWN

25 Contadores Integrados Contadores Binários Módulo 4 69 Up/own 69 LOA/COUNT UP/OWN ENT ENP CLK CTIV6 M M M3 M4 3CT=5 G5 4CT= G6,3,5,6 +/C7,4,5,6 - CO UP,3,5,6 +/C7,4,5,6 - A B C,7 [] [] [4] [8] A B C OWN

26 Contadores Integrados Contadores Binários Módulo CL CTIV6 CT= CL CTIV6 5CT= LOA/COUNT ENT ENP CLK M M G3 G4 C5/,3,4+ 3CT=5 CO LOA/COUNT ENT ENP CLK M M G3 G4 C5/,3,4+ 3CT=5 CO A B C,5 [] [] [4] [8] A B C A B C,5 [] [] [4] [8] A B C eset Assíncrono eset íncrono

27 Contadores Binários Módulo BC Contadores Integrados 6 6 CL CTIV CT= CL CTIV 5CT= LOA/COUNT ENT ENP CLK M M G3 G4 C5/,3,4+ 3CT=9 CO LOA/COUNT ENT ENP CLK M M G3 G4 C5/,3,4+ 3CT=9 CO A B C,5 [] [] [4] [8] A B C A B C,5 [] [] [4] [8] A B C eset Assíncrono eset íncrono

28 egistos egisto de N bits N- C C C C W N- egisto de eslocamento N- IN C C C C N-

29 egistos Integrados 98 CL CLK E A B C E F G G8 }M 3 C4 /,4 3,4 3,4 H 3,4 L E,4 A B C E F G H MOO TOP HIFT IGHT HIFT LEFT LOA

30 egistos Integrados LOA/HIFT CLK CLK M M C3/ C4 G4 CL LOA/HIFT CLK M M C3/ G4 E A B C A B C J K A B C,3J,3K,3,3 A B C

Microprocessadores. Arquitecturas Aritméticas Controladores

Microprocessadores. Arquitecturas Aritméticas Controladores Arquitecturas Aritméticas Controladores António M. Gonçalves Pinheiro Departamento de Física Covilhã - Portugal pinheiro@ubi.pt Números Inteiros sem sinal Usam normalmente a representação binária. com

Leia mais

Fundamentos dos circuitos sequenciais

Fundamentos dos circuitos sequenciais Fundamentos dos circuitos sequenciais ETV-EI-istemas Digitais-Fundamentos dos Circuitos equenciais 1/8 A grande maioria das aplicações dos sistemas digitais requer a capacidade de memória, isto é, a capacidade

Leia mais

Eletrônica Digital I TE050. Circuitos Seqüenciais

Eletrônica Digital I TE050. Circuitos Seqüenciais Universidade Federal do Paraná Setor de Tecnologia Departamento de Engenharia Elétrica Eletrônica Digital I TE050 Circuitos Seqüenciais Prof. Lúcio Mauro M. Tonon 1 Circuitos Seqüenciais A grande maioria

Leia mais

ENGª DE ELECTRÓNICA E COMPUTADORES

ENGª DE ELECTRÓNICA E COMPUTADORES ENGª DE ELECTRÓNICA E COMPUTADORES SISTEMAS DIGITAIS II Colectânea de Exercícios de Exame José Sousa 2-22 Sumário MEMÓRIAS 2 MÁQUINAS DE ESTADOS SÍNCRONAS 6 MÁQUINAS DE ESTADOS ASSÍNCRONAS OUTRAS REALIZAÇÕES

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) IEMA DIGIAI (D) MEEC Acetatos das Aulas eóricas Versão 3.0 - Português Aula N o 13: ítulo: umário: Circuitos equenciais Básicos: Latches Elementos básicos de memória; Latches (Latch, Latch sincronizado,

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

CONTADORES DIGITAIS (Unidade 6)

CONTADORES DIGITAIS (Unidade 6) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore INF 8 Técnicas Digitais para Computação Análise de Circuitos Sequënciais Máquinas de Mealy e Moore Aula 23 Técnicas Digitais. Introdução circuito seqüencial síncrono reconhecido se contém flip-flops (ou

Leia mais

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel

ANÁLISE DE SIST. SEQUENCIAIS SÍNCRONOS. Sel Sistemas Digitais Prof. Homero Schiabel ANÁLIE DE IT. EUENCIAI ÍNCRONO el 44 - istemas Digitais Prof. Homero chiabel ELEMENTO DE MEMÓRIA: LATCHE E FLIP-FLOP FLOP Latch R Latch R R R R * 0 0 0 0 0 ** R Latch R TABELA DE EXCITAÇÃO DIAGRAMA DE

Leia mais

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 0 Circuitos Sequenciais: Latches e Flip-Flops Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof.

Leia mais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais 1 Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais Circuitos Digitais Circuito combinacional: Circuito não é capaz de armazenar um valor Possui portas lógicas conectadas para

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores

ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores ELETRÔNICA DIGITAL APLICADA Aula 8- Registradores de deslocamento como contadores Prof.ª Msc. Patricia Pedroso Estevam Ribeiro Email: patriciapedrosoestevam@hotmail.com 26/11/216 1 Registradores de deslocamento

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto CIRCUITOS SEQUENCIAIS Adão de Melo Neto 1 EMENTA DEFINIÇÃO FLIP-FLOP SR FLIP-FLOP SR COM ENTRADA DE CLOCK FLIP-FLOP D COMPARAÇÃO DOS FLIP-FLOPS FLIP-FLOP X LATCH FLIP FLOP JK FLIP-FLOP D A PARTIR DO JK

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 17: Título: Sumário: Síntese de Circuitos Sequenciais: Definições Definição de circuito sequencial síncrono; Máquinas

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica

ELT502 Eletrônica Digital I Graduação em Engenharia Eletrônica Graduação em Engenharia Eletrônica Universidade Federal de Itajubá IESTI Aula 2 Emprego de elementos de memória: Contadores síncronos de Paula Rodrigues Contadores síncronos Contexto Flip-flops disparados

Leia mais

Índice Lógica Sequencial Circuitos simples com realimentação Memórias com portas lógicas Comportamento temporal Comportamento de estados de um

Índice Lógica Sequencial Circuitos simples com realimentação Memórias com portas lógicas Comportamento temporal Comportamento de estados de um umário Introdução à lógica sequencial. Circuitos simples com realimentação. O latch do tipo -. O latch do tipo D baseado no latch -. [início do ponto IV] Índice Lógica equencial Circuitos simples com realimentação

Leia mais

Sistemas Digitais (1999/2000)

Sistemas Digitais (1999/2000) Sistemas Digitais, recurso - 26/Jul/2000 (Prova B) Página 1/6 Universidade do Porto Faculdade de Engenharia Sistemas Digitais (1999/2000) Recurso - 26/Julho/2000 Duração: 2h 30m, sem consulta. Antes de

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho

Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Sistemas Digitais Circuitos Sequenciais Básicos (Latches e Flip-Flops) Horácio Neto Nuno Horta João Paulo Carvalho Circuitos Sequenciais Circuitos Sequenciais: o comportamento do circuito depende não só

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais aídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABE sistema digital de controlo

Leia mais

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores

Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Capítulo 3 Circuitos lógicos seqüenciais: flip-flops, latches, contadores e registradores Introdução Circuitos combinacionais x sequenciais Elemento básico: FLIP-FLOP (FF) Armazena informação (reter estado)

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Projecto utilizando contadores Entradas Primárias CTR DIV 8 5CT=0 M1[Load] M2[Count] 3CT=7 G3 G4 C5/2,3,4+ 1,5D 1, 2D [1] [2] [4] 1 2 4 /Y 0 1 2

Leia mais

Circuitos Seqüenciais Sistemas Digitais

Circuitos Seqüenciais Sistemas Digitais 2 ircuitos Seqüenciais Sistemas igitais Muitos sistemas digitais são pulsados ou clocked. Isto é, eles operam em sincronismo com um trem de pulsos de período chamado relógio (clock). n n+ ula GEO-I-UFPE

Leia mais

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops Prof. Antonio Heronaldo de Sousa Agenda - Circuitos Sequenciais - Conceitos - Conceito e circuitos de Latch RS - Aplicações do

Leia mais

Biestáveis R S, J K e D

Biestáveis R S, J K e D Circuitos Lógicos Biestáveis R S, J K e D Prof.: Daniel D. Silveira Horário: 4a.f e 6a.f de 10h às 12h 1 Flip Flop Elemento de memória implementado a partir de portas lógicas A maioria das entradas precisa

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3. - Português Aula N o 7: Título: Sumário: Contadores Contadores síncronos (contadores de módulo 2n, projecto de contadores, frequência máxima

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 7 Circuitos Sequenciais Contadores Prof. Antonio Heronaldo de Sousa Agenda - Contadores - Conceitos - Contadores Assíncronos - Máquina de Estados Finitos - Contadores Assíncronos

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 18: Título: Sumário: Síntese de Circuitos Sequenciais: Minimização do Número de Estados Especificação e projecto

Leia mais

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna. AULA 1 Introdução aos Sistemas Seqüenciais pg. 111 a 117 1. SISTEMAS COMBINACIONAIS Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória

Leia mais

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark

11/12/10. Básculas Flip-flops. Mário Serafim Nunes Guilherme Silva Arroz. Sistemas Digitais - Taguspark Básculas Flip-flops Sinal de relógio Básculas actualizadas no flanco Flip-flops master-slave Flip-flops edge-triggered Flip-flops SR, D, JK e T Entradas directas Características temporais 2 1 Um circuito

Leia mais

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO PRÁTICA 13 FLIP FLOPS D e JK 1. Objetivos: Familiarização com

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

Registos. Registos de dados ( registers )

Registos. Registos de dados ( registers ) ESTV-ESI-Sistemas Digitais-Registos 1/9 Registos Registos de dados ( registers ) Os registos de dados são circuitos constituídos por um determinado número de flip-flops, normalmente do tipo D, com entradas

Leia mais

Flip-Flops, Registros e Contadores

Flip-Flops, Registros e Contadores Flip-Flops, Registros e Contadores 1 D latch 2 Operação do D-latch se C=1 a saída acompanha a entrada se C=0 a saída mantém estado anterior não pode ser usado em circuitos síncronos: leitura e escrita

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Minimização do Número de Estados S1 S2 S3 S4 S5 S6 S1-S3 S2-S4 S1-S5 S3-S5 S2-S6 S4-S6 S0 S1 S2 S3 S4 S5 Aula Anterior Na aula anterior: Definição

Leia mais

Contador. A ideia básica de um contador. Os flip-flops podem ser conectados juntos para realizar

Contador. A ideia básica de um contador. Os flip-flops podem ser conectados juntos para realizar A função de contagem é importante em sistemas digitais. Existem muitos tipos de contadores digitais, mas a finalidade básica deles é contar eventos representados por transições de níveis ou pulsos. Para

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS BÁSICOS CICUITO EUENCIAI BÁICO etembro de 4 CICUITO EUENCIAI BÁICO - 2 UMÁIO: ELEMENTO BÁICO DE MEMÓIA LATCHE LATCH LATCH INCONIZADO LATCH D FLIP-FLOP FLIP-FLOP MATE-LAVE FLIP-FLOP JK FLIP-FLOP EDGE-TIGGEED IMBOLOGIA

Leia mais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições Aula Anterior Na aula anterior: Contadores síncronos Contadores de módulo 2 n Projecto de contadores Frequência máxima de funcionamento

Leia mais

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau CIRCUITOS DIGITAIS Circuitos Sequenciais e Flip-Flops Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta aula Latches

Leia mais

Contadores. Contador assíncrono

Contadores. Contador assíncrono V. 9523 ontadores Um contador é um circuito sequencial que conta... em binário, decimal ou segundo outras sequências podem ser assíncronos ou síncronos (máquinas de estados) plicações contar coisas...

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS E.T.M./2006 E.T.M./2008 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do

Leia mais

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops

Flip-Flops. Introdução Tipos Circuitos Integrados. Flip-Flops Introdução Tipos Circuitos Integrados Introdução - Um Flip-flop é uma célula de memória, accionada por um dos flancos do relógio. - Em geral, os flip-flops aparecem com duas entradas independentes do sinal

Leia mais

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado

Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Faculdade de Computação Curso de Sistemas de Informação Sistemas Digitais Lista 3 Prof. Dr. Daniel A. Furtado Circuitos Aritméticos 1. Construa a tabela verdade de um somador completo (FA) de um bit e

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS

UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS UTILIZAÇÃO DE CIRCUITOS BIESTÁVEIS Versão 2012 RESUMO Esta experiência tem como principal objetivo ilustrar a utilização de circuitos biestáveis, através do projeto de um circuito de controle das luzes

Leia mais

Circuitos Sequenciais

Circuitos Sequenciais ircuitos Sequenciais! ircuitos Sequenciais ircuitos em que há uma realimentação da saída para a entrada, denominada estado interno. As condições atuais da entrada e do estado interno determinem a condição

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

Circuitos Seqüenciais

Circuitos Seqüenciais ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Circuitos Seqüenciais prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 13 Sistemas Digitais Definição funcional: Aparato dotado de conjuntos

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de anta atarina entro Tecnológico epartamento de Informática e Estatística urso de Graduação em iências da omputação istemas igitais INE 546 Aula 3-T 3. evisão de latches, flip-flops

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

CIRCUITOS SEQUENCIAIS (Unidade 5)

CIRCUITOS SEQUENCIAIS (Unidade 5) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

Sistemas Digitais (SD) Contadores

Sistemas Digitais (SD) Contadores Sistemas Digitais (SD) Contadores Aula Anterior Na aula anterior: Registos Registos simples Banco de registos Registos de deslocamento Registos multimodo 2 Planeamento SEMANA TEÓRICA TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

ELETRÔNICA DIGITAL. Parte 12 Latches e Flip-Flops. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 12 Latches e Flip-Flops. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 2 Latches e Flip-Flops Professor Dr. Michael Klug Circuitos Sequenciais Circuitos Combinacionais: As saídas em qualquer instante de tempo dependem apenas dos valores das entradas

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Endereçamento Expĺıcito/Impĺıcito Projecto de máquinas

Leia mais

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 18. Máquina de Estados Parte 2. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 8 Máquina de Estados Parte 2 SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Eemplo de Projetos Síntese de Circuitos Sequenciais Eemplo de Projeto: l Contador binário síncrono

Leia mais

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Circuitos Sequenciais vs Combinacionais Os circuitos lógicos combinacionais são aqueles em que suas saídas a qualquer

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR

ELETRÔNICA DIGITAL II. AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR ELETRÔNICA DIGITAL II AUTOR: ENG. ANTONIO CARLOS LEMOS JÚNIOR 52wz1h@bol.com.br UBERABA MG 2º SEMESTRE 2008 CONTEÚDO PROGRAMADO: 1 Flip-Flop J-K 2 Flip-Flop D 3 Latch D 4 Entradas assíncronas 5 Características

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Contadores ( Counters )

Contadores ( Counters ) ontadores ( ounters ) ircuitos sequenciais que : não dependem de entradas externas (para além do relógio); seguem uma sequência de estados pré-definida (ciclo do contador = nº de estados). plicações ontagem

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Trabalho Prático Nº 8

Trabalho Prático Nº 8 ESTV-ESI-Sistemas Digitais-Trabalho Prático Nº8 /7 Trabalho Prático Nº 8 Projecto de um controlador de semáforos V2 S2 V S S GY R S2 R2 Y2 G2 Especificações funcionais: Controlador de semáforos de um cruzamento

Leia mais

LATCHES e FLIP-FLOPs. Aula 15 GRECO-CIN-UFPE

LATCHES e FLIP-FLOPs. Aula 15 GRECO-CIN-UFPE LATCHE e FLIP-FLOPs Aula 5 GECO-CIN-UFPE Latches e Flip-FlopsFlops Como implementar uma célula de memória? r n- r n-2 r n-3 r n-4 r egistrador de n bits célula {,} = bit de informação Flip-Flop/LatchesFlop/Latches

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop )

Flip-flop D disparado pelo bordo ascendente ( Positive edge-triggered D flip-flop ) Células de memória síncronas ESV-ESI-Sistemas igitais-fundamentos dos Circuitos Sequenciais (2) 1/14 As células de memória síncronas reagem de forma sincronizada com um sinal de relógio ( Clock -), o qual

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis

LABORATÓRIO DE ELETRÔNICA DIGITAL Experiência 7: Análise de Circuitos Biestáveis 33 1. Objetivo Analisar a operação de circuitos biestáveis: latches e flip-flops tipo RS, JK, T e D. 2. Conceito Um latch ou um flip-flop também são conhecidos como dispositivos biestáveis. Os biestáveis

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época de Julho de 4 ntes de começar o exame leia

Leia mais

Sistemas Digitais. Trabalho Prático 6. Controlo de um motor de passo

Sistemas Digitais. Trabalho Prático 6. Controlo de um motor de passo Sistemas Digitais Trabalho Prático 6 Controlo de um motor de passo Princípio de funcionamento do motor de passo O motor de passo utilizado é um motor unipolar constituído por 4 bobines (4 fases) que envolvem

Leia mais

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 2 Flip-Flop Parte SEL 044 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Combinacionais X Sequenciais l Circuitos Combinacionais: o valor da saída no instante t depende apenas da combinação

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

Introdução aos Microprocessadores. António M. G. Pinheiro Universidade da Beira Interior Covilhã - Portugal

Introdução aos Microprocessadores. António M. G. Pinheiro Universidade da Beira Interior Covilhã - Portugal Introdução aos icroprocessadores António. G. Pinheiro Covilhã - Portugal pinheiro@ubi.pt EVOLUÇÃO DE COPUTADORES Evolução histórica 1a Geração Década de 40 Baseados em Válvulas 2a Geração Década de 50

Leia mais

NOME: TURMA

NOME: TURMA Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2000/200) orrecção 2ª chamada 25/Janeiro/200 uração: 2horas, sem consulta.

Leia mais

UNIVERSIDADE DE AVEIRO DEPARTAMENTO DE ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I

UNIVERSIDADE DE AVEIRO DEPARTAMENTO DE ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I UNIVERSIAE E AVEIRO EPARTAMENTO E ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I Nome: Nº mec. I. [5 valores] Para cada questão proposta existem quatro

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Armazenamento e Transferência de Dados

Armazenamento e Transferência de Dados Armazenamento e Transferência de Dados Prof. André Rabelo Slides: Sistemas Digitais(Bibliografia básica) Armazenamento e Transferência de Dados FFs são comumente usados para armazenamento e transferência

Leia mais

Organização e Arquitetura de Computadores

Organização e Arquitetura de Computadores Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim

UNIVERSIDADE ESTADUAL PAULISTA. Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim unesp UNIVERSIDADE ESTADUAL PAULISTA Campus de Guaratinguetá Colégio Técnico Industrial de Guaratinguetá Professor Carlos Augusto Patrício Amorim 1 Atividades de Recuperação Final Sistemas Digitais II

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Máquinas de Estados Finitos de Paula Rodrigues Contexto Aplicações dos Flip-flops síncronos Armazenamento de dados Q Transferência

Leia mais