NOME: TURMA

Tamanho: px
Começar a partir da página:

Download "NOME: TURMA"

Transcrição

1 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2000/200) orrecção 2ª chamada 25/Janeiro/200 uração: 2horas, sem consulta. ntes de iniciar a prova, tenha em atenção as seguintes recomendações: Leia atentamente toda a prova antes de a iniciar. Mostre e justifique adequadamente todos os passos das suas respostas. prova deverá ser resolvida no enunciado. Se necessário, utilize o verso para continuar a sua resolução. ssine todas as folhas que entregar, indicando em cada uma o número de páginas/folhas que entregou. - onsidere =000 2 e Y=E7 6 que representam números inteiros com sinal em complemento para dois com 8 bits. a) iga, justificando, se pode ocorrer overflow na adição de com Y. Na adição de dois números representados em complemento para dois, só pode ocorrer overflow se os dois números tiverem o mesmo sinal. omo o número =000 é negativo e o número Y=E7 6 =00 2 também é negativo, então pode ocorrer overflow na soma desses números. b) Efectue a adição de com Y em binário, e indique se ocorre ou não overflow O resultado da adição de com Y é o número 000. omo ambos os operandos são negativos e o resultado também é negativo, pode-se concluir que não ocorreu overflow e o resultado (correcto!) da adição de com Y é 000. c) Qual é o maior número negativo representado em complemento para 2 com 8 bits que adicionado ao número provoca overflow? Justifique. omo o número é negativo, só pode ocorrer overflow quando é adicionado com outro número negativo, dando um resultado que é menor (mais negativo) do que o mais negativo que pode ser representado. omo o número mais negativo que pode ser representado em complemento para dois com 8 bits é -2 (8-) =-28= , então o maior número negativo M que adicionado com ainda não provoca overflow será dado por +M =(-28) ou M = -28-: (-28) -000 ( -45) 000 ( -83) Logo, o número pedido será obtido subtraindo uma unidade a M: M-=-83-=-84 = 0000

2 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 2 2 onsidere a função booleana F(,,,) representada no seguinte mapa de Karnaugh, onde os termos indiferentes (don t care) estão representados por d d d d d d d d d a) Escreva as expressões simplificadas na forma de soma-de-produtos e produto-de-somas para a função F(,,,) (utilize um mapa de Karnaugh para obter cada expressão). '.' d d d d '. '+ ' d d d d Expressão mínima soma-de-produtos: F(,,,) = Expressão mínima produto-de-somas: F(,,,) = ( +).( +) b) esenhe um circuito lógico que realize a função F(,,,) utilizando um número mínimo de portas lógicas do tipo NN de duas entradas, ou de portas lógicas do tipo NOR de duas entradas. Pelas expressões mínimas obtidas na alínea anterior, pode-se concluir que o circuito mais simples com portas NN ou NOR resultará da expressão mínima produto-de-somas. O circuito OR-N correspondente a essa expressão é: ' F(,,,) ' Transformando-o de forma a conter apenas portas lógicas do tipo NOR com duas entradas: F(,,,)

3 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 3 3 Pretende-se projectar o sistema de controlo de um monta-cargas que se desloca entre dois andares (ver figura). Para controlar o monta-cargas dispõe-se das seguintes entradas para o sistema de controlo: - um botão no interior do monta-cargas (MOVER) que é activado para deslocar o monta-cargas para o outro andar. MOTOR_SUIR MOTOR_ESER - dois botões exteriores de chamada, um em cada andar (HM_ESER e HM_SUIR), que são activados quando se pretende deslocar o monta-cargas para o andar respectivo. HM_ESER MOVER NO_NR2 - dois sensores (NO_NR e NO_NR2) que são activados sempre que o monta-cargas está correctamente posicionado no andar respectivo. e das saídas do sistema de controlo: - MOTOR_SUIR e MOTOR_ESER que quando activadas provocam o movimento do monta-cargas no sentido respectivo HM_SUIR NO_NR dmita que o sistema de controlo só aceita comandos provenientes dos botões quando o monta-cargas está parado num dos andares. omplete o diagrama de transição de estados do sistema descrito, utilizando apenas os estados já representados e os nomes simbólicos referidos no texto para as entradas e saídas. NOT: O diagrama de estados incompleto apresentado no enunciado original tinha um erro: as duas transições de estado apresentadas tinham associada a condição HM_SUIR=0 e HM_SUIR=, quando deveria ser HM_ESER=0 e HM_ESER= como se mostra na figura abaixo. NO_NR=0 MOTOR_SUIR=0 MOTOR_ESER= ESER MOTOR_SUIR= MOTOR_ESER=0 SUIR NO_NR2=0 NO_NR= MOVER= ou HM_ESER= MOVER= ou HM_SUIR= NO_NR2= MOVER=0 e HM_ESER=0 PRO MOTOR_SUIR=0 MOTOR_ESER=0 PRO2 MOTOR_SUIR=0 MOTOR_ESER=0 MOVER=0 ou HM_SUIR=0

4 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 4 4 O diagrama de transição de estados da figura representa uma máquina de Moore com uma entrada e uma saída S. =0 = = init S=0 S=0 =0 S=0 =0 = S= = =0 a) onstrua a tabela de transição de estados, atribuindo uma codificação apropriada aos estados. Utilizando primeiro os nomes simbólicos atribuídos aos estados, a tabela de transição pedida é: próximo estado estado actual =0 = saída S init init 0 0 init 0 odificando agora os estados como: init=00, =0, =0 e =, serão necessários 2 flip-flops do tipo e a tabela de transição de estados fica: próximo estado estado actual Q* Q0* Q Q0 =0 = saída S

5 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 5 b) esenhe o esquema do circuito lógico que implementa a máquina de estados, utilizando flip-flops do tipo. Partindo da tabela de transição de estados construída na alínea anterior, vamos obter as equações de excitação dos dois flip-flops, como expressões do tipo soma-de-produtos: Q*(Q,Q0,) QQ Q 4 5 Q0.' Q.Q0'. Q*(Q,Q0,) = Q0.' + Q.Q0'. Q0 Q0*(Q,Q0,) QQ0 Q Q0*(Q,Q0,) = Q0 S(Q,Q0) Q Q0 Q Q.Q0 S(Q,Q0) = Q.Q0 Q um circuito lógico que realiza esta máquina de estados é: Q0* Q0 Q Q Q* Q S clock

6 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 6 5 a) onstrua um circuito síncrono baseado num contador binário (74x63) e em circuitos lógicos adicionais capaz de gerar, nas saídas Q,Q,Q,Q do contador, a seguinte sequência de valores (admitindo que o estado inicial é igual a Q,Q,Q,Q =0000): 0,, 2, 3, 4, 5, 6, 7, 0,, 2, 0,, 2,... 74x63 estado próximo presente estado /LR /L ENT ENP Q Q Q Q Q* Q* Q* Q* 0 x x x x x x x x x x x x x 0 x x x x x Q Q Q Q x 0 x x x x Q Q Q Q N (se N<5) N LK LR L ENP ENT 74x63 Q Q Q Q RO nalisando a sequência pretendida, representada em binário: carrega 00 quando saída é 0 carrega 0000 (reset) quando saída é 00 podemos concluir o seguinte: quando as saídas apresentam o estado 0 deve ser activada a entrada L, mantendo as entradas ligadas permanentemente a quando as saídas apresentam o estado 00 deve ser activada a entrada LR para iniciar as saídas com 0000 Vcc (5V) O circuito pretendido é o seguinte: 74x63 LK LR L ENP ENT Q Q Q Q RO Gnd (0V) load reset

7 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 7 b) Modifique o circuito que construiu, acrescentando-lhe uma entrada por forma a que quando =0 é mantida a sequência de contagem anterior, e quando = passa a ser gerada a sequência: 0,, 2, 3, 4, 5, 6, 7, 8, 9, 0,, 2, 0,, 2,... Para obter a nova sequência de contagem, basta desactivar o sinal de carregamento do contador quando =, e manter a mesma função do circuito anterior quando =0. Uma solução consiste em acrescentar, na porta NN que produz o sinal de load, uma entrada ligada a : quando =0, = e esse NN produz a mesma função realizada no circuito anterior; quando = ( =0), o sinal load fica permanentemente igual a (desactivado) e nunca é efectuado o carregamento do contador. O circuito resultante é: Vcc (5V) 74x63 LK LR L ENP ENT Q Q Q Q RO Gnd (0V) load reset

8 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 8 6 Pretende-se construir uma máquina de estados com uma saída Z que é quando os 4 últimos bits consecutivos colocados na sua entrada são 0 (ver exemplo). pós o início do funcionamento da máquina de estados a saída Z só é considerada válida após o 4º ciclo de relógio. Entrada : Saída Z: xxxx a) esenhe um circuito baseado num shift-register 74x94 e em circuitos lógicos adicionais capaz de realizar a funcionalidade pretendida para a máquina de estados. Universal Shift-register 74x94 função S S0 Q* Q* Q* Q* hold shift right shift left load Q Q Q Q RIN Q Q Q Q Q Q LIN O circuito pretendido pode ser construído ligando o shift-register em configuração shift-left (S=, S0=0) e um comparador com a constante 0 nas saídas do shift-register (uma porta N com uma entrada negada), ligando a entrada à entrada LIN do shift-register. Em cada transição de relógio os valores lógicos presentes na entrada são deslocados para as saídas Q~Q, e a saída Z é activada com sempre que nas saídas aparecer 0: Vcc (5V) clock LK LR S S0 LIN RIN 74x94 Q Q Q Q Z Gnd (0V)

9 Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página 9 b) dmita agora que a máquina de estados só deve detectar sequências não sobrepostas (ver exemplo). Modifique o circuito anterior por forma a satisfazer este novo requisito (sugestão: é possível realizar este circuito sem introdução de novos circuitos lógicos ao circuito pedido na alínea anterior). Entrada : Saída Z: xxxx Para que apenas sejam detectadas sequências0 não sobrepostas, deve ser apagada a história dos bits anteriores sempre que for detectada uma sequência válida (0). Uma forma de conseguir este comportamento consiste em limpar (carregar zero) nas saídas do shift-register, o que pode ser conseguido activando a entrada LR sempre que Z=. No entanto, como LR é activo no nível lógico baixo, isso obriga a utilizar um inversor para negar Z. Para não gastar mais circuitos lógicos do que os usados na solução anterior, podemos realizar um load com 0000 (em vez de actuar a entrada LR), ligando a saída Z à entrada S0: quando Z=0 é feito o shift-left ( S= e S0=0); quando é detectada a sequência 0 a saída Z fica com e é realizado o load(s=, S0=) com O circuito resultante fica: Vcc (5V) clock LK LR S S0 LIN RIN 74x94 Q Q Q Q Z Gnd (0V) - FIM -

Universidade do Porto Faculdade de Engenharia

Universidade do Porto Faculdade de Engenharia Sistemas igitais, 2ª chamada - 5/Jul/2000 (Prova ) Página 1 Universidade do Porto Faculdade de Engenharia Sistemas igitais (1999/2000) 2ª chamada - 5/Julho/2000 uração: 2h 30m, sem consulta. ntes de iniciar

Leia mais

NOME: TURMA. a) Diga, justificando, qual é o número mínimo de bits necessário para representar os valores da tensão.

NOME: TURMA. a) Diga, justificando, qual é o número mínimo de bits necessário para representar os valores da tensão. Sistemas Digitais, recurso 8/Fev/2001 (Prova ) Página 1 Departamento de Engenharia Electrotécnica e de omputadores Sistemas Digitais (2000/2001) Recurso 8/Fevereiro/2001 Duração: 2horas, sem consulta.

Leia mais

NOME: CORRECÇÃO TURMA

NOME: CORRECÇÃO TURMA Sistemas igitais, 1ª chamada 26/Junho/21 (Prova ) Página 1 epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2/21) 1ª chamada 26/Junho/21 uração: 2horas, sem consulta. ntes de

Leia mais

Universidade do Porto Faculdade de Engenharia

Universidade do Porto Faculdade de Engenharia ! " # $ % & Universidade do Porto Faculdade de Engenharia? @ @ E F G H I J K G @ I L E G M E N @ O P M H Q F E O M G R Sistemas igitais (999/2000) ª chamada - 4/Junho/2000 uração: 2h 30m, sem consulta.

Leia mais

a) Indique o número mínimo de bits necessários à codificação da latitude e longitude.

a) Indique o número mínimo de bits necessários à codificação da latitude e longitude. Sistemas Digitais, 1ª chamada 7/Jan/2002 (Prova ) Página 1 NOME: ORREÇÃO TURM Departamento de Engenharia Electrotécnica e de omputadores Sistemas Digitais (2001/2002) 1ª chamada 7/Janeiro/2002 Duração:

Leia mais

Sistemas Digitais (1999/2000)

Sistemas Digitais (1999/2000) Sistemas Digitais, recurso - 26/Jul/2000 (Prova B) Página 1/6 Universidade do Porto Faculdade de Engenharia Sistemas Digitais (1999/2000) Recurso - 26/Julho/2000 Duração: 2h 30m, sem consulta. Antes de

Leia mais

catavento Dv 9 sistema electrónico de navegação Db 9 S bússola

catavento Dv 9 sistema electrónico de navegação Db 9 S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

Faculdade de Engenharia da Universidade do Porto

Faculdade de Engenharia da Universidade do Porto Faculdade de Engenharia da Universidade do Porto epartamento de Engenharia Electrotécnica e de omputadores Licenciatura em Engenharia Electrotécnica e de omputadores Enunciados e correcções de exames de

Leia mais

Contadores. Contador assíncrono

Contadores. Contador assíncrono V. 9523 ontadores Um contador é um circuito sequencial que conta... em binário, decimal ou segundo outras sequências podem ser assíncronos ou síncronos (máquinas de estados) plicações contar coisas...

Leia mais

Licenciatura em Engenharia Informática e de Computação. Electrónica Digital (2000/2001) CORRECÇÃO

Licenciatura em Engenharia Informática e de Computação. Electrónica Digital (2000/2001) CORRECÇÃO Licenciatura em Engenharia Informática e de omputação Electrónica igital (2/2) ª chamada - 6/Janeiro/2 ORREÇÃO uração: 2 horas, sem consulta. ntes de começar, tenha em atenção as seguintes recomendações:

Leia mais

Licenciatura em Engenharia Informática e de Computação. Electrónica Digital (2000/2001) CORRECÇÃO

Licenciatura em Engenharia Informática e de Computação. Electrónica Digital (2000/2001) CORRECÇÃO Licenciatura em Engenharia Informática e de omputação Electrónica igital (2/2) 2ª chamada 3/Janeiro/2 ORREÇÃO uração: 2 horas, sem consulta. ntes de começar, tenha em atenção as seguintes recomendações:

Leia mais

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o

Empréstimo Para o caso particular da presente operação, a partir do quarto bit, o SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 27 de Janeiro de 22 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de ª Época 8 de Junho de 4 ntes de começar o exame leia

Leia mais

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo.

Como todos os 1 s estão resolvidos pelos implicantes primos essenciais não é necessário considerar mais nenhum implicante primo. Exame Sistemas igitais - MEE 8/9. [,5 val] onverta (justificando) o número () para: a) Hexadecimal b) ecimal c) {{{ = 5(6) 5 9 = + + + + + = 5 + 8+ 6 + 6 + + = 75 7 () 6 75 7 5 ( ) = {{{ ( ). [,5 val]

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época - 13 de Julho de 2001 Antes de começar

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de omputadores Sistemas Digitais Exame de 1ª Época 1 de Julho de 2003 ntes de começar o exame

Leia mais

Exame 2 Sistemas Digitais - MEEC 2009/10 1

Exame 2 Sistemas Digitais - MEEC 2009/10 1 Exame Sistemas Digitais - MEEC 9/. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A B, C, D) = m(,4,8,9,,5 ) + m (, ), d Obtenha a expressão mínima na forma disjuntiva

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª Época 7 de Julho de 23 ntes de começar o exame

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1

Teste 2 Sistemas Digitais - MEEC 2011/12 1 Teste 2 Sistemas Digitais - MEEC 2011/12 1 1. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª

Leia mais

5º Mini-Teste: Circuitos Sequenciais Síncronos

5º Mini-Teste: Circuitos Sequenciais Síncronos epartamento de ngenharia lectrotécnica e de omputadores Sistemas igitais 23/24() L, LT, LI luno Nº correspondem a um circuito sequencial síncrono com uma entrada X e uma saída Y. dmita que o estado é codificado

Leia mais

UNIVERSIDADE DE AVEIRO DEPARTAMENTO DE ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I

UNIVERSIDADE DE AVEIRO DEPARTAMENTO DE ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I UNIVERSIAE E AVEIRO EPARTAMENTO E ELECTRÓNICA, TELECOMUNICAÇÕES E INFORMÁTICA Teste modelo 2 de Arquitecturas e Sistemas Operativos I Nome: Nº mec. I. [5 valores] Para cada questão proposta existem quatro

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Ciências Informáticas Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 2ª

Leia mais

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 1ª Época - 23 de Janeiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 1ª Época

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30 ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:,, C e D. iv. O teste

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

SISTEMAS DIGITAIS. bbbbbaaa. 1- Responda convenientemente às seguintes questões: a) Efetue, na base 2, a seguinte operação de multiplicação: [2]

SISTEMAS DIGITAIS. bbbbbaaa. 1- Responda convenientemente às seguintes questões: a) Efetue, na base 2, a seguinte operação de multiplicação: [2] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 23 de Janeiro de 2015 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

CD AB Exame Sistemas Digitais - MEEC 2011/12 1

CD AB Exame Sistemas Digitais - MEEC 2011/12 1 Exame Sistemas Digitais - MEE /. [ val] onsidere a função lógica ( ). a) Escreva a tabela de verdade da função. b) presente o Mapa de Karnaugh para esta função. c) Indique quais os implicados primos essenciais

Leia mais

Antes de começar o exame leia atentamente esta folha de rosto

Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia eroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de ª Época de Julho de 4 ntes de começar o exame leia

Leia mais

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13)

f (x 3,x 2,x 1,x 0 ) = Π M (1,4,8,9,10,15). Π M d (12,13) Exame Sistemas Digitais - MEEC 2/. [2 val] Considere a seguinte função booleana: f (x 3,x 2,x,x ) = Π M (,4,8,9,,5). Π M d (2,3) Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta

Leia mais

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data)

EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) EXAME DE SISTEMAS DIGITAIS (LEIC) JAN 2007(1ª Data) I. Dado o seguinte mapa de Karnaugh: f(a,b,c,d) 0 0 1 1 C 0 1 1 0 D 0 0 1-0 - 0 1 1 1-0 1 1-0 1-1 0 1-0 1 A B a. (2,5 val) Simplifique de modo a obter

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores.

Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores. Instituto Superior Técnico Licenciatura em Engenharia Aeroespacial Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais Exame de 1ª Época - 27 de Junho de 2001 Antes de começar

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar).

a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). Exame 1 Sistemas Digitais - LETI/LEE 2016-17 1 1. Dado f A, B, C = AB + BC. BC a)[1 val] Desenhe o esquema lógico que implementa directamente a função f (i.e., sem simplificar). b)[1 val] Simplifique f

Leia mais

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Exame 1 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Exame Sistemas Digitais - MEEC 9/. [ val] Considere a função representada no mapa, abaixo. Obtenha a expressão mínima na forma conjuntiva (produto de somas) para esta função. Justifique e identifique quais

Leia mais

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2011/12 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 2/2. [3 val] Considere o circuito da figura e os tempos de propagação indicados na tabela. a) Esboce as formas de onda indicadas para o circuito da figura. b) O circuito

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 14 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e 10 e tem a duração de 1h30m. iii. O exame contempla todas

Leia mais

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D

A) A C + A B D + A B C D B) A B + A B D + A B C D C) A C + A C D + A B C D D) A C + A B D + A B C D luno nº: Nome: LEI-T, LER, LEE Sistemas igitais 2º Exame - 9 de Fevereiro de 212 uração: 2h3. Identifique todas as folhas. Responda a cada pergunta no quadrado à direita ou deixe em branco. ada resposta

Leia mais

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº

Teste 2 Sistemas Digitais - LETI/LEE 2015/16 1. Aluno Nº Teste 2 Sistemas Digitais - LETI/LEE 25/6. [3 val] Considere o circuito representado na figura abaixo, no qual é utilizado um contador. Considere como estado inicial Q2=Q=Q=. Qual o ciclo de contagem efectuado

Leia mais

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos)

Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) ESTV-ESI-Sistemas igitais-circuitos Sequenciais Síncronos (2) /2 Síntese de circuitos sequenciais síncronos(máquinas de estados finitos) O procedimento para o projecto (síntese) de um circuito sequencial

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2016, 11:30 SISTEMS DIGITIS 5-6 8 de Janeiro de 6, : EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por páginas, e tem a duração de hm. ii. prova é sem consulta.

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1

Teste 2 Sistemas Digitais - MEEC 2009/10 1 Teste 2 Sistemas Digitais - MEEC 29/. [3 val] Considere o circuito da figura e as formas de onda indicadas. Esboce as formas de onda dos sinais X, Y e W, considerando X, Y e W inicialmente a e tendo em

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30

SISTEMAS DIGITAIS MEFT / MEAer de Junho de 2013, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 10 perguntas, distribuídas por 16 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii.

Leia mais

2º Mini-Teste: Síntese de Funções Lógicas (Duração: 20 m)

2º Mini-Teste: Síntese de Funções Lógicas (Duração: 20 m) epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais /() LEE, LET, LI º Mini-Teste: Síntese de unções Lógicas (uração: m) a) ( val) Seja f (,) uma função de duas variáveis binárias

Leia mais

Sistemas Digitais Aula Prática Nº 9

Sistemas Digitais Aula Prática Nº 9 Sistemas Digitais Aula Prática Nº 9 Flip-Flops D: implementação de um contador em anel Grupo: Turma: Elementos do Grupo:. Implementação de um contador em anel Os contadores em anel apresentam um padrão

Leia mais

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº

Exame 2 Sistemas Digitais - MEEC 2008/9 1. Aluno Nº Exame 2 Sistemas Digitais - MEEC 28/9. [,5 val] Converta (justificando) o número (2) para: a) Hexadecimal b) Decimal c) BCD 2. [2,5 val] Considere a seguinte função booleana, em que A é a variável de maior

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de h3m. iii. O exame contempla todas as

Leia mais

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº

Teste 2 Sistemas Digitais - MEEC 2009/10 1. Aluno Nº Teste 2 Sistemas Digitais - MEEC 29/ luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [3 val] Considere o circuito da figura e as formas de

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste R3 Sistemas igitais - M 26/7 3. luno Nº não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.. [2 val] onsidere o diagrama de estados seguinte, que

Leia mais

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto

Exame de 2ª Época - 8 de Fevereiro de Antes de começar o exame leia atentamente esta folha de rosto Instituto Superior Técnico Licenciatura em Engenharia Física Tecnológica Licenciatura em Engenharia Electrotécnica e de Computadores Licenciatura em Ciências Informáticas 6LVWHPDV'LJLWDLV Exame de 2ª Época

Leia mais

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada /

SISTEMAS DIGITAIS. 2- Considere a seguinte tabela de verdades: 1/10 1ª chamada / SISTEMAS DIGITAIS Licenciatura em Engenharia Eletrotécnica Licenciatura em Engenharia Informática Exame (1ª Chamada) 24 de janeiro de 2019 Apenas é permitido ter em cima da mesa de exame os enunciados

Leia mais

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) SISTEMAS DIGITAIS Caderno de Exercícios Representação de Informação 1. Converta cada um dos seguintes números para o seu equivalente decimal: a) b) i) 1101110.101 2 ii) 0.00101 2 iii) 1011010.1010 2 i)

Leia mais

Teste 3 Sistemas Digitais - MEEC 2006/7 1

Teste 3 Sistemas Digitais - MEEC 2006/7 1 Teste 3 Sistemas igitais - M 26/7. [2 val] onsidere o diagrama de estados seguinte, que descreve o comportamento de uma máquina de Mealy com entrada e saídas S e S. omplete a evolução do estados e das

Leia mais

Eletrônica Digital II

Eletrônica Digital II FACULDADE SANTO AGOSTINHO - FSA ENGENHARIA ELÉTRICA Eletrônica Digital II Prof. Fábio Leite, Esp Tópicos Procedimento de projeto de circuitos sequenciais Projeto com FFs tipo D Projeto com FFs tipo JK

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m

2º TESTE (Questões 5, 6, 7, 8, 9 e 10)... 1h30m EXAME (Questões 1 a 10)... 2h30m ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui 4 páginas. ii. O teste contempla as perguntas 5, 6, 7, 8, 9 e e tem a duração de hm. iii. O exame contempla todas as

Leia mais

Circuitos sequenciais síncronos Parte II

Circuitos sequenciais síncronos Parte II Circuitos sequenciais síncronos Parte II Diagramas de estado Conceitos básicos Concepção de diagramas de estado Comparação do comportamento dos modelos de Moore e de Mealy Construção de tabelas de estado

Leia mais

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers ) 10ª aula 1-37 PALs Sequenciais 16R8 10ª aula 2-37 Uma Saída de 16R8 8 termos

Leia mais

Pedro Tomás Horácio Neto

Pedro Tomás Horácio Neto MEE/MEFT/MEAer 5/6 Pedro Tomás Horácio Neto 5/6 APÍTULO I ONVERSÃO DE NÚMEROS Problema.. Escreva as potências de desde - até 5, e ainda 5 e 5. Problema.. a) onverta para base o número (). b) onverta ()

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Exame Sistemas Digitais - MEEC 28/9. [ val] Considere a seguinte função booleana, em que A é a variável de maior peso: f ( A, B, C, D, E) = m( 2,4,6,7,,5,6,9, 25,27,28,29 ) + m d (,3,5,8,9,,4,2,24,26,3

Leia mais

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m

2º TESTE (Questões 5, 6, 7, 8 e 9)... 1h30m EXAME (Questões 1 a 9)... 2h30m SISTEMS DIGITIS 4-5 9 de Janeiro de 5, 5: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. O enunciado da prova inclui páginas. ii. O teste contempla as perguntas 5, 6, 7, 8 e 9 e tem a duração

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

Normalmente o registrador de deslocamento é constituído de um conjunto de FFs (Flip-Flops) destinados a armazenar dados binários.

Normalmente o registrador de deslocamento é constituído de um conjunto de FFs (Flip-Flops) destinados a armazenar dados binários. O registrador de deslocamento (do inglês Shift-Register) é um dispositivo largamente usado em sistemas digitais, desde uma simples calculadora de bolso, teclados para introdução de códigos até teclados

Leia mais

Registos. Registos de dados ( registers )

Registos. Registos de dados ( registers ) ESTV-ESI-Sistemas Digitais-Registos 1/9 Registos Registos de dados ( registers ) Os registos de dados são circuitos constituídos por um determinado número de flip-flops, normalmente do tipo D, com entradas

Leia mais

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T.

NOME: TURMA. a) Mostre que, numa representação em complemento para dois, são necessários 8 bits para representar Tset e 7 bits para representar T. Sistemas Digitais, 2ª chamada 10/Jul/2002 (01101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 2ª chamada 10/Julho/2002 Duração: 2horas, sem consulta.

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00

SISTEMAS DIGITAIS MEFT/MEAer de Maio de 2017, 19:00 SISTEMS DIGITIS MEFT/MEer 6-7 de Maio de 7, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações

Leia mais

Máquinas de estado. Rodrigo Hausen ... saída próx. estado. entrada estado. Circuito combinacional para o cálculo do próximo estado.

Máquinas de estado. Rodrigo Hausen ... saída próx. estado. entrada estado. Circuito combinacional para o cálculo do próximo estado. Máquinas de estado Rodrigo Hausen Modelo geral de máquinas de estado Y n Y Y Entrada de dados Memória Saída de dados n entrada estado atual saída próx. estado Circuito combinacional para o cálculo do próximo

Leia mais

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005

Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores. Sistemas Digitais. 3º Teste 21 de Dezembro de 2005 Instituto Superior Técnico Licenciatura em Engenharia Electrotécnica e de Computadores Sistemas Digitais 3º Teste 21 de Dezembro de 25 Antes de iniciar o teste leia atentamente esta folha de rosto 1. Duração

Leia mais

Universidade Federal de Pernambuco Sistema Digitais Exercícios. 1. Deduzir as equações de próximo estado dos fip-flops tipo RS, T, D e JK.

Universidade Federal de Pernambuco Sistema Digitais Exercícios. 1. Deduzir as equações de próximo estado dos fip-flops tipo RS, T, D e JK. Universidade Federal de Pernambuco Sistema Digitais Exercícios 1. Deduzir as equações de próximo estado dos fip-flops tipo RS, T, D e JK. Tipo SR Funcionamento geral: O tipo SR mantém o valor armazenado

Leia mais

Circuito de dados e circuito de controlo

Circuito de dados e circuito de controlo Circuito de dados e circuito de controlo Considerações iniciais Exemplo 2 1 As metodologias estudadas até agora permitem projectar circuitos digitais combinatórios e digitais de pequena complexidade. Estas

Leia mais

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS

SISTEMAS DIGITAIS CIRCUITOS SEQUENCIAIS SÍNCRONOS IRUITOS SEQUENIIS SÍNRONOS Setembro de IRUITOS SEQUENIIS SÍNRONOS - 2 SUMÁRIO: IRUITOS E MOORE E MELY RTERIZÇÃO ESPEIFIÇÃO SÍNTESE ONVERSÃO EEMPLOS PROJETOS LTERNTIVOS FLIP-FLOP / ESTO UTILIZÇÃO E ONTORES

Leia mais

Escola Superior de Tecnologia Instituto Politécnico de Setúbal

Escola Superior de Tecnologia Instituto Politécnico de Setúbal Escola Superior de Tecnologia Instituto Politécnico de Setúbal Departamento de Engenharia Electrotécnica SISTEMAS DIGITAIS Enunciados de Laboratório José Sousa / João Beirante - 2001/02 Sumário Trabalho

Leia mais

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

Aluno Nº. A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. Teste 2 Sistemas Digitais - MEEC 28/9. Suponha o circuito da figura inicialmente no estado Q=Q=, e com E=, A=, D=, J= e Y=. a) [2 val] Esboce as formas de onda dos sinais indicados, tendo em conta as formas

Leia mais

Teste 1 Sistemas Digitais - MEEC 2006/7 1. Grupo I

Teste 1 Sistemas Digitais - MEEC 2006/7 1. Grupo I Teste Sistemas igitais - M 6/7 Grupo I. onsidere o circuito da figura ao lado, que realiza a função lógica. a) [ val] É possível realizar este circuito apenas com portas lógicas? Justifique. É possível.

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00

SISTEMAS DIGITAIS MEEC de Novembro de 2014, 20:00 SISTEMS DIGITIS -5 de Novembro de, : ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla perguntas, distribuídas em páginas. iii. Existem variações distintas

Leia mais

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores

PCS 2304 PROJETO LÓGICO DIGITAL 19/05/2006 Gabarito Preliminar 6 a Lista de Exercícios Contadores PCS 24 PROJETO LÓGICO DIGITAL 9/5/26 Gabarito Preliminar 6 a Lista de Exercícios Contadores ) Contador em anel. A Figura apresenta um contador em anel torcido semelhante aos vistos anteriormente em aula,

Leia mais

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30

SISTEMAS DIGITAIS MEEC / LEIC-A de Novembro de 2012, 19:30 ITEM DIGITI MEEC / LEIC- ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 8 perguntas, distribuídas em páginas. iii. Existem variações distintas do teste:,,

Leia mais

Interligação de contadores

Interligação de contadores Contadores Conceitos base Contadores síncronos Concepção heurística Concepção formal Características de contadores Alteração do módulo de contagem Interligação de contadores 2 1 Um contador é um circuito

Leia mais

Teórico-prática n.º 8 Sistemas Digitais

Teórico-prática n.º 8 Sistemas Digitais & Circuitos e Eletrónica Mestrados Integrados em Engª. Biomédica e Engª. Física e Licenciatura em Física Teórico-prática n.º 8 Sistemas Digitais 1. Converter os seguintes números binários/decimais em números

Leia mais

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas

7. Módulos Funcionais sequenciais Contadores Tipos de contador Entradas síncronas e assíncronas 7. Módulos Funcionais sequenciais... 7 2 7.1 Contadores... 7 2 7.1.1 Tipos de contador... 7 3 7.1.2 Entradas síncronas e assíncronas... 7 3 7.1.3 Entradas assíncronas dos Flip Flops... 7 3 7.2 Sintetização

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00

SISTEMAS DIGITAIS MEEC de Novembro de 2015, 19:00 SISTEMS DIGITIS 5-6 de Novembro de 5, 9: ntes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: hm. ii. O teste contempla 4 perguntas, distribuídas em páginas. iii. Existem 4 variações

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-T 2. Máquinas Sequenciais Síncronas. Modelos de Moore

Leia mais

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010

Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Ministério da Ciência, Tecnologia e Ensino Superior Curso:... Prova de Arquitectura de Computadores (21010) Data: 18 de Junho de 2010 Nome:... Nº de Estudante:... B. I. nº... Assinatura do Vigilante:...

Leia mais

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória. Se as portas forem dispostas corretamente, elas vão selembrar do valor de entrada. A memória é baseada num conceito de

Leia mais

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00

SISTEMAS DIGITAIS MEFT / MEAer de Julho de 2016, 08:00 SISTEMS DIGITIS MEFT / MEer 5-6 4 de Julho de 6, 8: EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 8 perguntas, distribuídas por 4 páginas, e tem a duração de hm. ii. Existem

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:, B, C e D. iii. prova

Leia mais

Também seria aceite, mas com penalização de 25%, a resposta a esta questão como sendo: = cp2

Também seria aceite, mas com penalização de 25%, a resposta a esta questão como sendo: = cp2 SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 4 de Janeiro de 4 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

FSM de Mealy. Exemplo:

FSM de Mealy. Exemplo: Exemplo: FSM de Mealy o mesmo circuito anterior (detector de 1011) assunção: o valor de X muda logo após o a saída Y depende do estado actual e da entrada X INI INI S1 S1 S2 S3 S1 S1 S2 INI S1 S2 S3 X

Leia mais

Sistemas Digitais Ficha Prática Nº 6

Sistemas Digitais Ficha Prática Nº 6 Comparador de Dígitos Binários Circuitos aritméticos: Multiplicador de dois bits Sistemas Digitais Ficha Prática Nº 6 Grupo: Turma: Elementos do Grupo:. Comparador de Dígitos Binários Neste trabalho pretende-se

Leia mais

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica Universidadee Federal de Itajubá IESTI Máquinas de Estados Finitos de Paula Rodrigues Contexto Aplicações dos Flip-flops síncronos Armazenamento de dados Q Transferência

Leia mais