MIC78 Conversores de Sinais Analógicos e Digitais CMOS: Conversores Digital-Analógicos. Sumário

Documentos relacionados
Microeletrônica. Prof. Fernando Massa Fernandes. Aula 18. Sala 5017 E.

Amplificador Operacional OTA Miller

DELET - EE - UFRGS CIRCUITOS ELETRÔNICOS INTEGRADOS - ENG Prof. Dr. Hamilton Klimach

Universidade Federal de Itajubá ELT039. Conversores de Dados (Introdução e Conversores D/A)

Conversores Digital/Analógico (D/A) e Analógico/Digital (A/D)

INTERFACE COM O MUNDO ANALÓGICO

PGMicro MIC46. = Filtros =

Conversão AD. Eric Fabris Hamilton Klimach MIC 78

Universidade Federal do ABC

Eletrônica Digital II. Engenharia de Computação

Módulos Funcionais para Instrumentação

Eletrônica Digital. Conversores A/D e D/A PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Microeletrônica. Aula 18. Prof. Fernando Massa Fernandes. Sala 5017 E.

VCC M4. V sa VEE. circuito 2 circuito 3

PGMicro MIC46. = Circuitos de Referência =

MIC 78 Amostradores e Reconstrutores

Microeletrônica. Prof. Fernando Massa Fernandes. Sala 5017 E

PGMicro MIC46. = Variabilidade de Fabricação =

Transistor NMOSFET (Metal-Oxide-Semiconductor Field Effect Transistor, canal N, tipo Enriquecimento) I DS D

28/10/2010 IFBA. CELET Coordenação do Curso Técnico em Eletrônica Professor: Edvaldo Moraes Ruas, EE. Vitória da Conquista, 2010.

V in (+) V in (-) V O

Transistores MOSFET. TE214 Fundamentos da Eletrônica Engenharia Elétrica

controle em instrumentação

ANALISADOR DE ESPECTROS

PROJETO DE AVALIAÇÃO - P1

Eletrônica II. Germano Maioli Penello. II _ html.

Conversor A/D por aproximações sucessivas

Microeletrônica. Aula 17. Prof. Fernando Massa Fernandes. Sala 5017 E.

8. Instrumentação Digital 1

Conversores A/D e D/A

Microeletrônica. Prof. Fernando Massa Fernandes. Sala 5017 E

Analisador de Espectros

HARDWARE DOS RELÉS NUMÉRICOS

Introdução Conversão Digital Analógica Conversão Analógica Digital Referências. Conversão D/A e A/D. Aula 01 - DAC / ADC

10.0 Conversores DA. Conceitos básicos:

Noções de Exatidão, Precisão e Resolução

Eric Ericson Fabris

Nota a respeito de FET, MosFET e PIC16F877A

Capítulo 11) Interface com o mundo analógico

Tecnologia em Automação Industrial 2016 ELETRÔNICA II Aula 11 Amplificadores Operacionais Par diferencial e características elétricas

Introdução aos conversores D/A

PROJETO 3: SOMADOR DE QUATRO BITS EM TECNOLOGIA CMOS Para implementacão de um Somador completo é necessário seguir a tabela-verdade abaixo:

Microeletrônica. Aula 17. Prof. Fernando Massa Fernandes. Sala 5017 E.

V in (+) V in (-) V O

Microeletrônica. Germano Maioli Penello.

Modulações de Espectro Espalhado

Sistemas Digitais Conversão Digital-Analógico

DSP (Conversão AD/ DA) Processo de conversão AD. Processo de conversão AD. Current A/D technology (cont.) Currente tecnologia A/D

Microeletrônica. Prof. Fernando Massa Fernandes. Aula 16. Sala 5017 E.

UNIVERSIDADE FEDERAL DO PARANÁ SETOR DE TECNOLOGIA DEPARTAMENTO DE ENGENHARIA ELÉTRICA

O MOSFET como Amplificador. ENG04055 Concepção de CI Analógicos Eric Fabris

PSI ELETRÔNICA II. Prof. João Antonio Martino AULA

Modelagem e Controle de Conversores

Conversão Digital Analógico e Analógico Digital. Disciplina: Eletrônica Básica Prof. Manoel Eusebio de Lima

Curso Técnico em Eletroeletrônica Eletrônica Analógica II

Capítulo 11 Referência Bandgap

Microeletrônica. Aula 16. Prof. Fernando Massa Fernandes. Sala 5017 E.

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 04. Inversor CMOS. Prof. Sandro Vilela da Silva.

Centro Federal de Educação Tecnológica de Pelotas CEFET-RS. Aula 03. Modelos de Transistores MOS. Prof. Sandro Vilela da Silva.

IFBA. CELET Coordenação do Curso Técnico em Eletrônica Professor: Edvaldo Moraes Ruas, EE. Vitória da Conquista

Introdução sobre Pares Diferenciais (Bipolares e MOS)

CONVERSORES D/A e A/D

MIC78 Conversores de Sinais Analógicos e Digitais CMOS Introdução. Plano de Ensino

Capítulo. Meta deste capítulo Entender o princípio de funcionamento de osciladores em duplo-t.

Microeletrônica. Prof. Fernando Massa Fernandes. Sala 5017 E

Experiência 5: Circuitos osciladores e conversores digital-analógicos

6 Modelo Gamma-Cetuc (GC)

Microeletrônica. Aula 19. Prof. Fernando Massa Fernandes. Sala 5017 E.

Conversor Digital-Analógico Calibrado para Comunicações, em Tecnologia CMOS Avançada de 40nm

CONVERSORES D/A e A/D. SEL Sistemas Digitais Prof. Homero Schiabel

PROJETO DE UM CONVERSOR DIGITAL-ANALÓGICO PARA UM TRANSMISSOR BLUETOOTH EM TECNOLOGIA CMOS.

Fontes Chaveadas de Tensão PSI-EPUSP

UNIVERSIDADE FEDERAL DE CAMPINA GRANDE CENTRO DE ENGENHARIA ELÉTRICA E INFORMÁTICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA ELETRÔNICA

Interface com A/D e D/A

INSTRUMENTAÇÃO E AQUISIÇÃO DE DADOS 2274 Eng. Engenharia Electrotécnica (4º Ano/1º Semestre)

Uma metodologia de projeto de conversor A/D SAR-PWM híbrido

28/05/2017. Interface com Conversores A/D e D/A. Interface com Conversores A/D e D/A SEL-433 APLICAÇÕES DE MICROPROCESSADORES I

Multímetro Digital. Principais medidas: Instrumento para medidas de grandezas elétricas em DC e AC (baixas frequências)

Universidade Federal de Itajubá ELT039. Conversores de Dados (Amostragem de Sinais e Conversores A/D)

Parâmetros importantes de um Analisador de Espectros: Faixa de frequência. Exatidão (frequência e amplitude) Sensibilidade. Resolução.

Osciloscópio Digital. Diagrama em blocos:

Microeletrônica. Aula 22 - Revisão. Prof. Fernando Massa Fernandes. Sala 5017 E.

Microeletrônica. Aula 18. Prof. Fernando Massa Fernandes. Sala 5017 E.

Conversor Analógico-Digital - ADC

CIRCUITOS NÃO LINEARES COM AMP OP CIRCUITOS NÃO LINEARES COM

9.0 Conversores DA. Um conversor digital analógico simples com saída em tensão

CONVERSOR ANALÓGICO-DIGITAL COM CAPACITORES MÍNIMOS INTEGRADO NA TECNOLOGIA CMOS. Fellipe Diogo Falleiro

ENCONTRO 4 AMPLIFICADOR DE INSTRUMENTAÇÃO

APLICAÇÕES NÃO LINEARES COM AMPLIFICADOR OPERACIONAL

Condicionamento de sinais analógicos

CONVERSOR DELTA-SIGMA

Capítulo 10 Estabilidade e Compensação. em Freqüência. que possui a seguinte função de transferência. Considerações Gerais

UFJF FABRICIO CAMPOS

FACULDADE LEÃO SAMPAIO

O processo de filtragem de sinais pode ser realizado digitalmente, na forma esquematizada pelo diagrama apresentado a seguir:

UNIVERSIDADE FEDERAL DE CAMPINA GRANDE CENTRO DE ENGENHARIA ELÉTRICA E INFORMÁTICA DEPARTAMENTO DE ENGENHARIA ELÉTRICA ELETRÔNICA

Inversor CMOS. Bloco básico em circuitos digitais. Potência dissipada em regime estático é (praticamente) nula

Sistemas Microcontrolados

Análise Estatística de Sistemas de Comunicação Digitais Usando o Diagrama de Olho

Transcrição:

MIC78 Conversores de Sinais Analógicos e Digitais CMOS: Conversores Digital-Analógicos Prof. Dr. Hamilton Klimach hamilton.klimach@ufrgs.br Prof. Dr. Eric Fabris eric.fabris@ufrgs.br Introdução Sumário Formas de representação física Modos de representação Divisão de Tensão Divisão de Corrente Direcionamento de Corrente Divisão por Carga Divisão de Tempo H. Klimach Conversores AD e DA 1

Conversores DA Conceito H. Klimach Conversores AD e DA 3 Conversores DA Classificação H. Klimach Conversores AD e DA 4

Conversores DA Formas Formas de representação analógica e aplicações H. Klimach Conversores AD e DA 5 Conversores DA Célula Básica Célula Básicas de conversão DA H. Klimach Conversores AD e DA 6 3

Conversores DA Célula Básica Exemplo: Células Básicas de conversão DA, implementando um conversor H. Klimach Conversores AD e DA 7 Conversores DA Representação Representação da grandeza de forma Unária ou Binária, através da associação de células Unária: células de mesmo peso Binária: células com peso escalonado H. Klimach Conversores AD e DA 8 4

Conversores DA Representação Mesmo Peso Mesmo Peso Pesos Diferentes Pesos Diferentes H. Klimach Conversores AD e DA 9 Conversores DA Subranging Associação de mais de um DAC (M e K bits), com atenuação entre as escalas DAC LSB discretiza de forma fina o intervalo de 1 bit definido pelo DAC MSB ( coarse ) A composição resulta num conversor com maior resolução (M+K bits) Frequentemente se sacrifica resolução para permitir o ajuste da faixa fine sobre a coarse H. Klimach Conversores AD e DA 10 5

Conversores DA Codificação Formas de codificação digital H. Klimach Conversores AD e DA 11 Introdução Sumário Formas de representação física Modos de representação Divisão de Tensão Divisão de Corrente Direcionamento de Corrente Divisão por Carga Divisão de Tempo H. Klimach Conversores AD e DA 1 6

Divisão de Tensão Conceito Divisão de tensão resistiva: monotônico! H. Klimach Conversores AD e DA 13 Divisão de Tensão Arquitetuta Arquitetura típica H. Klimach Conversores AD e DA 14 7

Matriz X-Y Conversores DA Tensão H. Klimach Conversores AD e DA 15 Divisão de Tensão Res. Equiv. Resistência equivalente H. Klimach Conversores AD e DA 16 8

Divisão de Tensão Tsettling Settling time: Capacitância das chaves e do amplificador, associada à resistência da rede define uma constante de tempo Essa constante de tempo define o tempo de estabilização da rede (settling time) Este tempo pode ser reduzido, diminuindo a resistência da rede: uso de resistores menores Uso de resistores shunt com segmentos da rede ameniza esse problema H. Klimach Conversores AD e DA 17 Resistores Divisão de Tensão Tsettling Shunt H. Klimach Conversores AD e DA 18 9

Divisão de Tensão Tsettling Tempo de resposta de um amplificador buffer, em função de Slew-Rate (SR) e Largura de Banda (BW) H. Klimach Conversores AD e DA 19 Divisão de Tensão Composição Composição de segmentos (sub-ranging): - Duas redes de N bits formando um conversor de N bits H. Klimach Conversores AD e DA 0 10

Divisão de Tensão INL R R U U 0 R R R U 0 : valor típico Uglobal Ulocal R Uglobal R Ulocal : erro sistemático (tolerância, : erro aleatório (mismatch) temperatura, etc) INL apresenta uma parcela devido a erro sistemático e outra devido a erro aleatório H. Klimach Conversores AD e DA 1 Erro sistemático: Divisão de Tensão INL Erro sistemático: a) Rede simples b) Rede simples c) Rede dobrada H. Klimach Conversores AD e DA 11

Divisão de Tensão Calibração Rede principal com taps de tensão ajustável H. Klimach Conversores AD e DA 3 Divisão de Tensão INL Erro aleatório: simulação de 10 redes com 56 segmentos H. Klimach Conversores AD e DA 4 1

Divisão de Tensão Resistores Implementação de resistores casados W R SQ R L eff cont R R AR WL A W RW A L RL H. Klimach Conversores AD e DA 5 Divisão de Tensão Resistores Em uma rede resistiva de M segmentos: Cada segmento apresenta uma incerteza σ R /R O maior INL em cada segmento m ocorre quando todos os resistores acima deste ponto têm o máximo desvio num sentido, enquanto todos os abaixo o têm no outro. O maior DNL ocorre quando todos os segmentos têm o máximo desvio num sentido, exceto um, que apresenta o máximo desvio no outro. H. Klimach Conversores AD e DA 6 13

Divisão de Tensão Resistores Análise de variância máxima no segmento m em uma rede de M segmentos H. Klimach Conversores AD e DA 7 Divisão de Tensão Resistores O desvio máximo ocorre no centro da rede (m=m/) e o mínimo ocorre nos extremos (m=0 e m=m) Assim, é possível estimar o INL máximo da rede por: H. Klimach Conversores AD e DA 8 14

Divisão de Tensão Resistores Layout de resistores de difusão, para reduzir a resistência dos contatos. H. Klimach Conversores AD e DA 9 Divisão de Tensão Resistores Linearização de resistor de difusão, através da polarização do poço H. Klimach Conversores AD e DA 30 15

Divisão de Tensão Exemplo DAC resistivo de 10 bit para vídeo a 100 MS/s Coarse: 16 resistores de 50Ω de grande área (INL) Fine: 64 resistores de 75Ω, presos aos taps da rede coarse H. Klimach Conversores AD e DA 31 Divisão de Tensão Exemplo Diagrama em blocos H. Klimach Conversores AD e DA 3 16

Divisão de Tensão Exemplo Foto: 3 DACs para R-G-B H. Klimach Conversores AD e DA 33 Divisão de Tensão Exemplo Especificações medidas H. Klimach Conversores AD e DA 34 17

Introdução Sumário Formas de representação física Modos de representação Divisão de Tensão Divisão de Corrente Direcionamento de Corrente Divisão por Carga Divisão de Tempo H. Klimach Conversores AD e DA 35 Divisão de Corrente Conceito O divisor de corrente binário e a rede R-R H. Klimach Conversores AD e DA 36 18

Divisão de Corrente Resistivo Implementação da rede R-R H. Klimach Conversores AD e DA 37 Divisão de Corrente Resistivo INL R-R devido a descasamento, quando são usados resistores com mesma incerteza H. Klimach Conversores AD e DA 38 19

Divisão de Corrente MOSFET Usa MOSFETs em triodo: adequada para baixa tensão de alimentação; menor area H. Klimach Conversores AD e DA 39 Divisão de Corrente MOSFET Rede M-M: Equivalência entre associações de transistores Associação M-M A divisão de corrente ocorre mesmo sendo os MOSFETS não-lineares H. Klimach Conversores AD e DA 40 0

Divisão de Corrente MOSFET Rede M-M: I B V B V R I R M B1 M 71 M 74 M 61 M 64 M 01 M 04 M 00 M B M 7 M 73 M 6 M 63 M 0 M 03 Q 7 -Q 7 Q 6 -Q 6 Q 0 -Q 0 -Q 7 Q 7 -Q 6 Q 6 -Q 0 Q 0 G B I 0 V 0 I G V G Q 7 Q 6 Q 1 Q 0 Di Ck D ck Q D ck Q D ck Q D ck Q Do Diagrama do conversor D/A de 8 bits M-M. O valor digital, a ser convertido em analógico, é programado no registrador de deslocamento. H. Klimach Conversores AD e DA 41 Divisão de Corrente MOSFET Rede M-M: TSMC 0.35 DAC0: 380 x 0 µm DAC1: 90 x 150 µm Microfotografia dos conversores fabricados: DAC0 (esq.) e DAC1 (dir.). rede M-M, cercada pelo anel de guarda e dummies 8 registradores, chaves de acionamento e capacitores de desacoplamento H. Klimach Conversores AD e DA 4 1

Divisão de Corrente MOSFET INL para 1σ: Desvio-padrão do erro medido das 0 amostras de DAC0 (esq.) e DAC1 (dir.), normalizado para 1 LSB. As medidas foram realizadas sob os níveis de inversão 0 e 000. H. Klimach Conversores AD e DA 43 Divisão de Corrente MOSFET DAC0 DAC1 if=0 if=000 Amostras de DAC0 (esq.) e DAC1 (dir.) que apresentaram os erros mínimo e máximo medidos em 0 peças, sob dois níveis de inversão extremos, 0 (cima) e 000 (baixo). H. Klimach Conversores AD e DA 44

Introdução Sumário Formas de representação física Modos de representação Divisão de Tensão Divisão de Corrente Direcionamento de Corrente Divisão por Carga Divisão de Tempo H. Klimach Conversores AD e DA 45 Current Steering Conceito Direcionamento de fontes de corrente Unário: sempre monotônico Binário: pode ser não-monotônico H. Klimach Conversores AD e DA 46 3

Current Steering Composição Duas redes binárias iguais compondo MSB e LSB de um conversor H. Klimach Conversores AD e DA 47 Current Steering Composição Composição: rede unária MSB e binária LSB No exemplo, 8 fontes unárias formam um DAC de 3 bits, que composto com os 4 bits da rede binária, resulta 7 bits H. Klimach Conversores AD e DA 48 4

Current Steering Composição DAC 10 bits: MSB: 6 unários + LSB: 4 binários H. Klimach Conversores AD e DA 49 Current Steering Layout Distribuição das células unárias, para redução de descasamento global (centróide-comum) H. Klimach Conversores AD e DA 50 5

Current Steering Layout Divisão de cada fonte de corrente unitária (a) em 4 fontes (b) ou 16 fontes (c) intercaladas, de forma a melhorar o casamento entre elas 64 fontes 56 fontes 104 fontes H. Klimach Conversores AD e DA 51 Current Steering Célula Célula unária DAC básica: pode ter saída unipolar (abaixo) ou bipolar H. Klimach Conversores AD e DA 5 6

Current Steering Arquitetura - 14 bit current steering DAC: 8 MSB unary + 6 LSB binary H. Klimach Conversores AD e DA 53 Current Steering Foto 14 bit current steering DAC: 8 unary + 6 binary H. Klimach Conversores AD e DA 54 7

16 bit currentsteering DAC, 180nm CMOS process (J. Briaire) Current Steering Foto H. Klimach Conversores AD e DA 55 Current Steering Controle Sinais de controle fora de fase: transistores Mk1 e Mk cortados: M4 e M entram em triodo!!! H. Klimach Conversores AD e DA 56 8

Current Steering Controle Sinais de controle fora de fase: ambos transistores (Mk1 e Mk) cortados H. Klimach Conversores AD e DA 57 Current Steering Controle Implementação do controle: os caminhos que cortam são mais atrasados que os que ligam as chaves: overlap é desejado! H. Klimach Conversores AD e DA 58 9

Current Steering Mismatch O modelo de Pelgrom apresenta os efeitos das variabilidades LOCAIS e GLOBAIS do processo, sobre os transistores MOS, através de parâmetros relacionados à tensão de limiar (V T ) e ao fator de ganho (β=μcox): Obs: em inversão forte, uma aproximação para I D é Saturação: Triodo: I I D D W L W L V V 1 V GS T V DS DS V GS VT VDS H. Klimach Conversores AD e DA 59 Current Steering Mismatch A VT e A β relacionam os efeitos locais à área ativa dos transistores (WL) S VT e S β relaciona os efeitos globais à distância média entre os transistores (D) VT AVT S WL A S WL VT D D Compensados com um bom layout H. Klimach Conversores AD e DA 60 30

31 H. Klimach Conversores AD e DA 61 Current Steering Mismatch H. Klimach Conversores AD e DA 6 Current Steering Mismatch A incerteza na corrente I D pode então ser estimada por: SI: SI e WI: 4 T GS T D D V V V I I T D m D D V I g I I 1 D m T GS I g V V

Current Steering Mismatch Relação entre gm/i D e o nível de inversão H. Klimach Conversores AD e DA 63 Exemplo: Current Steering Mismatch H. Klimach Conversores AD e DA 64 3

Exemplo: Current Steering Mismatch H. Klimach Conversores AD e DA 65 Current Steering Monte Carlo Em uma simulação Monte Carlo, em cada transistor são acrescidas as fontes abaixo, cujos valores são determinados aleatoriamente, conforme os fatores de descasamento do processo (A VT e A β ), a geometria do transistor (WL) e sua polarização (I D ). AV A T V T WL WL i i H. Klimach Conversores AD e DA 66 V GS V T MchV I D I IdsM D 33

Current Steering Monte Carlo O ponto de operação de todos os transistores é calculado (valor médio), e os resultados são armazenados. O valor das fontes de descasamento de cada transistor é definido, pontos de operação recalculados e resultados armazenados. O processo anterior é repetido muitas vezes, de forma a se ter uma boa certeza estatística. Os resultados armazenados formam um histograma e se calcula a média e desvio-padrão de cada variável. H. Klimach Conversores AD e DA 67 Current Steering Monte Carlo Simulação Monte Carlo da tensão de off-set de um amplificador operacional Miller CMOS. O histograma apresenta a distribuição desta tensão sobre 1000 amostras, em intervalos de 0,5 mv. O desvio-padrão calculado é,1 mv. A curva tracejada é a sua aproximação Gaussiana. H. Klimach Conversores AD e DA 68 34

Current Steering Linearidade Limites da técnica: H. Klimach Conversores AD e DA 69 Current Steering Linearidade Perdas médias na fabricação: DAC 1 bits H. Klimach Conversores AD e DA 70 35

Current Steering Linearidade DAC 1 bits: área do transistor LSB para garantir matching local de 3σ, conforme topologia escolhida (processo hipotético) H. Klimach Conversores AD e DA 71 Current Steering Calibração Princípio: uma fonte de referência é colocada em série com um elemento do DAC A variável que controla o valor deste elemento é memorizada para o valor de referência e usada posteriormente, quando o elemento for acionado H. Klimach Conversores AD e DA 7 36

Current Steering Calibração Calibração global de um DAC através de um CalDAC: a resolução do CalDAC determina o número de pontos de calibração H. Klimach Conversores AD e DA 73 Current Steering Calibração Calibração dinâmica (cíclica) de corrente: O array de N fontes é implementado com N+1 A cada ciclo, uma das fontes é calibrada A calibração é memorizada em C GS H. Klimach Conversores AD e DA 74 37

Current Steering Calibração Problemas na calibração dinâmica: Injeção de carga ao final da calibração Descarga do valor armazenado H. Klimach Conversores AD e DA 75 Current Steering Calibração Implementação prática H. Klimach Conversores AD e DA 76 38

Current Steering DEM Dynamic Element Matching (DEM): Current Averaging O divisor de corrente apresenta descasamento: correntes I 1 e I não são iguais, apresentando um erro ΔI: I 1 = I+ΔI; I = I-ΔI O chaveamento intercalado das correntes faz com que as correntes resultantes I 3 e I 4 tenham valor médio I. H. Klimach Conversores AD e DA 77 Current Steering DEM Data-Weighted Averaging (DWA): o acionamento das células obedece uma ordem cíclica, sempre iniciando pela próxima para um novo dado; modula o erro sistemático em uma frequência que pode ser filtrada depois 3/8 FS 4/8 FS /8 FS H. Klimach Conversores AD e DA 78 39

Current Steering DEM Data-Weighted Averaging (DWA): o acionamento das células obedece uma ordem cíclica, sempre iniciando pela próxima para um novo dado; modula o erro sistemático em uma frequência que pode ser filtrada depois H. Klimach Conversores AD e DA 79 Current Steering DEM As técnicas de dynamic element matching podem ser utilizadas em qualquer tipo de célula de conversão (resistiva, MOSFETs ou capacitores) Conceitualmente, o erro entre células, que causaria INL em um conversor, é movido sobre a faixa 0-FS a cada nova conversão Este processo representa uma modulação do erro em frequência, quando a movimentação é cíclica Caso seja usada uma movimentação (pseudo) aleatória, o erro é transformado em ruído, e sua potência é distribuída no espectro Nos dois casos, o erro pode ser eliminado por filtro H. Klimach Conversores AD e DA 80 40

Current Steering DEM Aumento da faixa dinâmica por uso de DEM Descasamento entre as fontes de corrente H. Klimach Conversores AD e DA 81 Current Steering Velocidade Aumento de taxa de amostragem por DAC interleaving H. Klimach Conversores AD e DA 8 41

Introdução Sumário Formas de representação física Modos de representação Divisão de Tensão Divisão de Corrente Direcionamento de Corrente Divisão por Carga Divisão de Tempo H. Klimach Conversores AD e DA 83 Divisão por Carga Princípio V C 1 Out V Ref C1 C V V Out Out kc U k V n kcu n ( k) C Ref U V 0 k n Ref 1 H. Klimach Conversores AD e DA 84 4

Divisão por Carga Princípio Formação do divisor pela associação de capacitores escalonados binariamente H. Klimach Conversores AD e DA 85 Divisão por Carga Implementação Implementação típica: Capacitores são descarregados (Φ R ) Chaves ligam os capacitores a Vref conforme código binário Vout resulta da divisão escalonada de Vref através dos capacitores H. Klimach Conversores AD e DA 86 43

Divisão por Carga Implementação Um atenuador entre dois segmentos do DAC permite a implementação de N bits usando dois DACs de N/ bits (subranging) H. Klimach Conversores AD e DA 87 Divisão por Carga Capacitores Implementação de Capacitores Capacitor MiM (Metal-insulator-Metal) Capacitor MMCC ou MoM (Metal-Metal-Comb Capacitor) H. Klimach Conversores AD e DA 88 44

Divisão por Carga Auto-zero Cancelamento de Vos na fase de zeramento Ф R (descarga) H. Klimach Conversores AD e DA 89 Divisão por Carga Híbrido Uso de MSB resistivo e LSB capacitivo de forma a obter um DAC combinando técnicas H. Klimach Conversores AD e DA 90 45

Divisão por Carga Algorítmico Algorithmic Serial DAC: Iterative pipeline approach Exemplo: Data = 11001 H. Klimach Conversores AD e DA 91 Divisão por Carga Algorítmico Conversor algorítmico por redistribuição de carga 1) C é descarregado; C1 recebe LSB (S10 ou S11) Carga de C1 é dividida com C (média) ) LSB+1 aciona chaves S10 ou S11, carregando C1 Carga de C1 é dividida com C... 3) LSB+ aciona chaves S10 ou S11, carregando C1 Carga de C1 é dividida com C... H. Klimach Conversores AD e DA 9 46

Divisão por Carga Algorítmico Exemplo: valor 1011 (11/16) 1. V C1 =Vref ( 1, S 11 ) V C =0V (S reset ) (S ) V C1 =Vref/ V C =Vref/. V C1 =Vref ( 1, S 11 ) V C =Vref/ (S ) VC1=3Vref/4 V C =3Vref/4 3. V C1 =0V ( 0, S 10 ) V C =3Vref/4 (S ) VC1=3Vref/8 V C =3Vref/8 4. V C1 =Vref ( 1, S 11 ) V C =3Vref/8 (S ) VC1=11Vref/16 V C =11Vref/16 H. Klimach Conversores AD e DA 93 Trabalho 4 DAC cap Switching Schemes for Reducing Capacitor Mismatch Sensitivity of Quasi- Passive Cyclic DAC ; Poki Chen ; Ting-Chun Liu; Circuits and Systems II: Express Briefs, IEEE Transactions on ; Volume: 56, Issue: 1 ; Publication Year: 009, Page(s): 6 30 Simulação no Virtuoso usando: Chaves ideais Opamp em Verilog-A H. Klimach Conversores AD e DA 94 47

Introdução Sumário Formas de representação física Modos de representação Divisão de Tensão Divisão de Corrente Direcionamento de Corrente Divisão por Carga Divisão de Tempo H. Klimach Conversores AD e DA 95 Divisão por Tempo A magnitude do valor digital é convertida no valor médio resultante da relação de ciclo de trabalho de um oscilador retangular Baixo valor médio Alto valor médio H. Klimach Conversores AD e DA 96 48

Divisão por Tempo PWM/PDM PWM: ciclos com duração constante, onde o valor digital é codificado na proporção entre o valor do semi-ciclo alto e o do ciclo total PDM: semi-ciclos de igual duração são habilitados em uma janela temporal, em quantidade proporcional ao valor digital O valor médio é obtido por filtragem H. Klimach Conversores AD e DA 97 Divisão por Tempo Comparação Resultado espectral de um DAC 8 bits: a) PWM b) PCM = PDM c) FONS: first-order noise shapping H. Klimach Conversores AD e DA 98 49

Divisão por Tempo Distorção Distorção devido à diferença entre os atrasos de subida e descida no PWM H. Klimach Conversores AD e DA 99 50