Engenharia Electrotécnica 1º Semestre 2003/2004 Microelectrónica

Tamanho: px
Começar a partir da página:

Download "Engenharia Electrotécnica 1º Semestre 2003/2004 Microelectrónica"

Transcrição

1 Engenharia Electrotécnica 1º Semestre 2003/2004 Microelectrónica PAL (Programmable Array Logic) Trabalho realizado por: Jorge Viegas n.º

2 PAL Programmable Array Logic Uma PAL é um dispositivo lógico programável, que contém uma matriz de AND programável e uma matriz de OR fixa (predefinida). Diz-se que uma PAL contém lógica Fuzzy programável. Estes dispositvos permitem-nos aplicar tensões mais elevadas em determinadas partes do chip de modo a queimar o circuito por forma a ter a programação desejada. História das PAL Os primeiros dispositivos lógicos programáveis foram produzidos pela AMD (Advanced Micro Devices) corporation. Esses dipositivos foram denominados de PAL (programmable array logic). As PAL contêm portas lógicas fixas, sob forma de função lógica, com interligação programada entre si. Essa interligação é conseguida sob forma de matrizes com número variável de inputs e outputs, onde se podem criar funções Booleanas selecionando um input e obtendo o resultado da função em um dos outputs. Uma única PAL pode substituir um circuito contendo dezenas ou mesmo algumas centenas de portas lógicas individuais. O negócio dos dispositivos lógicos programáveis (PLD) foi iniciado pela AMD. Criou uma divisão própria com o nome de Vantis, que em 1999 foi adquirida pela Lattice Semiconductor. Numa PAL as portas lógicas estão organizadas sob forma de somas de produtos de matrizes. Em termos Booleanos, isto significa que um número variável de portas AND, cujas várias saidas ligam a um OR de várias entradas e cuja saída é única. Ao selecionarmos cada uma das entradas das AND e quais os conjuntos de AND que vão ligar a uma certa OR, podemos criar qualquer função Booleana. Visto isto, todas as funções podem ser reduzidas a soma de produtos, consuante temos funções mais ou menos complexas, temos PAL de menor ou maior dimensão. Para programar-mos uma PAL precisamos de um programador de PAL. Normalmente estes programadores conseguem programar todos os tipos de dispositivos lógicos programáveis, de todos os fabricante. O programador de PAL deve dispôr da descrição dos chips e da sua configuração interna. Estas caracteristicas vem num ficheiro de texto de acordo com um formato standard definido pelo JEDEC (Joint Electron Device Engineering Council). Estes ficheiros podem ser escritos á mão pelo design engineer ou mais vulgarmente, produzidos por um programa de computador, cuja linguagem é similar à linguagem dos compiladores usados pelo software de engenharia. O mais conhecido desses programas para gravação de PAL é o PALASM.

3 Quais as companhias que produzem dispositivos de lógica programável? Os maiores faricantes do momento são: 1. Altera 2. Xilinx 3. Vantis (antiga divisão de lógica programável da AMD) 4. Lattice Semiconductor 5. Actel 6. Lucent Technologies 7. Cypress Semiconductor 8. Amtel 9. QuickLogic Como desenvolver uma lógica programável? Normalmente o desenvolvimento de um circuito com lógica programável envolve 3 passos: 1. Esboço do circuito pretendido (Design de Entrada) 2. Desenvolvimento do código (Design de Implementação) 3. Gravação da PAL (a transição pelos diferentes passos é sistemáticamente acompanhada de uma verificação)

4 Implementação de funções com PAL Para este exemplo utilizei uma PAL 4 inputs por 4 outputs. Tabela com as funções a implementar:

5 PAL gravada com a implementação pretendida. Desenvolvimento de projecto com o PALASM O PALASM é um software criado para facilitar a vida dos programadores... Como todos os softwares de programação o PALASM tem um editor de texto onde escrevemos o código do programa e tem associado um compilador que nos permite assemblar o código para linguagem máquina. Os ficheiros de texto são guardados com a extensão (.pds) e o ficheiro assemblado pronto a gravar na PAL tem extensão (.jed)

6 Esquema de Ficheiros no PALASM: PDS Nome.pds (especificação de entrada) PALASM XPT JED RPT HST TRF LOG Nome.xpt Nome.jed Nome.rpt Nome.hst Nome.trf Palasm.log (mapa dos fusíveis a interromper) (ficheiro JEDEC para programação) (ficheiro com o relatório da utilização de recursos internos) (todos os resultados de simulação) (resultados da simulação nos sinais a visualizar) (relatório produzido pelo PALASM) Dentro de um ficheiro (.pds) podemos encontrar: Um Segmento Declarativo ; Declaration Segment TITLE exemplo.pds PATTERN A REVISION 1.0 AUTHOR JM Martins Ferreira / SD da LEIC COMPANY FEUP/DEEC DATE Junho 1998 CHIP exemplo PAL22V10 PIN 1 CLOCK COMBINATORIAL ; INPUT PIN 2 A COMBINATORIAL ; INPUT PIN 3 B COMBINATORIAL ; INPUT PIN 4 C COMBINATORIAL ; INPUT PIN 5 D COMBINATORIAL ; INPUT PIN 6 E COMBINATORIAL ; INPUT PIN 12 GND PIN 14 F1 COMBINATORIAL ; OUTPUT PIN 15 F2 REGISTERED ; OUTPUT PIN 24 VCC (Todas os dados referentes à programação e variáveis, são declaradas neste segmento) Um Segmento Funcional ; Equations Segment EQUATIONS F1 = /A + B + C + D*E F2:= /A + B + C + D*E (É neste segmento que se declaram todas as funções que se pretendem implementadas pelo circuito)

7 Um Segmento de Simulação ; Simulation Segment SIMULATION TRACE_ON CLOCK A B C D E F1 F2 SETF /A /B /C /D /E SETF A CLOCKF CLOCK SETF /A B CLOCKF CLOCK SETF /B C CLOCKF CLOCK SETF /C D CLOCKF CLOCK SETF /D E CLOCKF CLOCK SETF /E CLOCKF CLOCK TRACE_OFF (Segmento de verificação do projecto, é onde é efectuada a simulação de toda a lógica) Aspecto gráfico do PALASM: (O PALASM é um programa que funciona em ambiente DOS) Menu File

8 Menu Edit Menu Run

9 Menu View o Waveform display

10 Menu Documentation o Index of topic (Exemplo para o caso do comando FOR)

11 PALLV16V8-10 Low-Voltage 20-Pin EE CMOS Universal Programmable Array Logic Características: Descrição Geral: A PALLLV16V8 é uma PAL com características avançadas, construída para funcionar com baixas tensões, alta velocidade e tecnologia CMOS que permite apagá-la electricamente. Possui 20 pins, 16 são entradas e das quais 8 são partilhados com as saídas. É construída sob os formatos DIP/SOIC e PLCC. Utiliza uma arquitectura standard universal, as macrocells (são estruturas lógicas predefinidas), são muito usadas porque facilita a toda a programação.

12 PALLV16V8 Macrocell A PALLV16V8 possui 8 destas macrocell. Diagrama de Blocos da PALLV16V8 A familiar arquitectura da soma de produtos (AND/OR), que permite aos utilizadores implementar funções lógicas complexas de forma fácil e eficiente. Multiplos níveis de lógica combinatória podem ser sempre reduzidos a somas de produtos, tirando proveito das multíplas entradas disponíveis numa PAL. As funções ser programadas nas PAL através das portas de entrada que vão dar à matriz de AND e que podem ser apagadas electricamente. As matrizes de OR fixas permitem até 8 resultados de produtos por entrada. A soma destes produtos entram nas macrocell. Cada macrocell pode ser programada como um registo ou função combinatória com saídas activas a 0 ou 1. A configuração das saídas é determinada por 2 bits globais e 1 bit local que controlam 4 multiplexeres em cada macrocell.

13 Diagrama Lógico da PALLV16V8 (aqui apenas estão representadas 4 das 8 saídas da PALLV16V8)

14 Características DC e especificações comerciais: Temperaturas suportadas e Limites de operacionalidade:

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais

Dispositivos lógicos programáveis (DLP) Princípio de funcionamento dos DLP. DLPs: Extensão para circuitos sequenciais Dispositivos lógicos programáveis (DLP) Organização: Princípio de funcionamento dos DLP Arquitectura de um componente típico: A 22V Metodologia de projecto com o PALASM A aplicação PALASM Um dado electrónico

Leia mais

Introdução ao PALASM: apresentação e guia de utilização

Introdução ao PALASM: apresentação e guia de utilização Introdução ao PALASM: apresentação e guia de utilização Luís Gomes (e-mail: lugo@uninova.pt) (URL: http://www.uninova.pt/~lugo) Revisão 1.1 Universidade Nova de Lisboa Faculdade de Ciências e Tecnologia

Leia mais

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP

Componentes Programáveis. PLD, CPLD e FPGAs. pelo Utilizador. José Miguel Vieira dos Santos. JMVS - SACP Componentes Programáveis pelo Utilizador PLD, CPLD e FPGAs José Miguel Vieira dos Santos jvs@isep.ipp.pt JMVS - SACP 2005 1 PLD- Progammable Logic Devices O termo PLD abrange uma vasta gama de componentes

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

Standards para Memórias

Standards para Memórias Standards para Memórias Os fabricantes de memórias utilizam várias notações para a descrição dos sinais de temporização das memórias. Na tentativa de uniformizar essas notações foi proposto um standard

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

7. Hardware programável sequencial PAL sequencial Construção sequence present ATF750C

7. Hardware programável sequencial PAL sequencial Construção sequence present ATF750C 7. Hardware programável sequencial... 7-2 7.1 PAL sequencial... 7-2 7.2 Construção sequence present... 7-4 7.3 A750C... 7-5 7. HARDWARE PROGRAMÁVEL SEQUENCIAL Como anteriormente podemos observar na estrutura

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Dispositivos Lógicos Programáveis

Dispositivos Lógicos Programáveis 1 Dispositivos Lógicos Programáveis Princípio de funcionamento Ambiente de desenvolvimento 2 Dispositivos Lógicos Programáveis Introdução Estruturas hardware programáveis PROM PLA PAL (GAL) CUPL linguagem

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento

Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Guia de Implementação de Circuitos na Placa de Desenvolvimento Horácio

Leia mais

Memórias ROM ( Read-Only Memory )

Memórias ROM ( Read-Only Memory ) Memórias ROM ( Read-Only Memory ) ESTV-ESI-Sistemas Digitais-Memórias ROM /7 As memórias ROM, também designadas por memórias mortas, são constituídas por uma matriz de dispositivos com capacidade para

Leia mais

Realização física de circuitos lógicos

Realização física de circuitos lógicos Realização física de circuitos lógicos Circuitos integrados digitais Famílias lógicas Níveis de tensão Atrasos Lógica positiva, negativa e de polaridade Realização usando ROMs 2 1 Acções e processamento

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

Opções de Design para Circuitos Integrados CMOS

Opções de Design para Circuitos Integrados CMOS Opções de Design para Circuitos Integrados CMOS Para implementar um circuito integrado (CI) em CMOS é possível escolher entre as múltiplas possibilidades existentes no mercado. A escolha deve ser feita

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Sistemas Digitais MEMÓRIAS -! SUMÁRIO:! MEMÓRIAS INTEGRADAS! RAMs! ROMs! LÓGICA PROGRAMÁVEL! PROMs! PLAs! PALs! FPGAs! IMPLEMENTAÇÃO DE MÁQUINAS DE ESTADO UTILIZANDO

Leia mais

9. Hardware programável sequencial

9. Hardware programável sequencial 9. Hardware programável sequencial... 9-2 9. HARDWARE PROGRAMÁVEL SEQUENCIAL Como anteriormente podemos observar na estrutura PAL descrita no capítulo 5, a saída da função que é posta disponível num pino

Leia mais

FPGA - Field Programmable Gate Array

FPGA - Field Programmable Gate Array FPGA - Field Programmable Gate Array 1985/86: Xilinx introduz nova família de PLDs FPGA - Field Programmable Gate Array agregados regulares de blocos lógicos configuráveis (CLB para XILINX) ligações programáveis

Leia mais

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx

Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Curso de Engenharia Electrotécnica e Computadores Introdução à aplicação ISE Foundation 6.1i/WebPACK da Xilinx Autores: Rui Antunes & Frederico Grilo Abril de 2007 ÍNDICE: 1. INTRODUÇÃO:...3 2. CONFIGURAÇÃO:...4

Leia mais

Portos de Entrada/Saída

Portos de Entrada/Saída MICROPROCESSADORES Eng.ª Electrotécnica 2º Trabalho de Laboratório Portos de Entrada/Saída Ano lectivo 2005/2006 Ana Antunes 1- Objectivo Utilizar os portos de E/S (entrada/saída) de um microcontrolador

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62

ANEXO I. O Ambiente de desenvolvimento MpLab IDE v6.62 ANEXO I O Ambiente de desenvolvimento MpLab IDE v6.62 Como ferramenta de desenvolvimento do código dos microcontroladores utilizou-se o MpLab IDE v6.62 da Microchip. A linguagem de programação utilizada

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

Introdução aos circuitos integrados de aplicação específica

Introdução aos circuitos integrados de aplicação específica Introdução aos circuitos integrados de aplicação específica João Canas Ferreira 2007-09-17 Tópicos de Projecto de VLSI digital Assuntos Tópicos 1 2 Circuitos programáveis 3 Fluxo de projecto Contém figuras

Leia mais

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Capítulo 3 Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Conhecer alguns dos principais circuitos digitais sequenciais

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Ciclo de Seminários Técnicos

Ciclo de Seminários Técnicos A Computação Reconfigurável no Desenvolvimento de um Sistema Digital Delano Oliveira (delano@dsc.ufcg.edu.br) DSC/CEEI/UFCG Agenda Motivação Objetivo Conceitos Fluxo de Projeto Considerações Finais 2 Motivação

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Sistema Distríbuído de Medição de Grandezas

Sistema Distríbuído de Medição de Grandezas Engenharia Electrotécnica e Ramo Electrónica e Sistema Distribuído de Medição de Grandezas Realizado por: Nuno Camilo nº 99 216 3509 Isaac Correia nº02 216 4971 Com a orientação do: Professor António Abreu

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 26/08/2015 Prof. Alexandre - ELP1DLP1 1 26/08/2015 Prof. Alexandre - ELP1DLP1 2 INTRODUÇÃO A LÓGICA DIGITAL Circuitos Integrados (CI): Fonte: Brown, S., Vranesic,

Leia mais

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP

1 MEMÓRIAS 07/08. como armazenar mais do que um bit? Até ao momento... MEMÓRIA =FLIP- FLOP Memórias.PLD.PLA.FPGA. Até ao momento... MEMÓRIA =FLIP- FLOP 1 MEMÓRIAS como armazenar mais do que um bit? Coelho, J.P. @ Sistemas Digitais : Y20 Memórias.PLD.PLA.FPGA. 2 como armazenar mais do que uma

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Setembro de 4 MEMÓRIAS - SUMÁRIO: MEMÓRIAS INTEGRADAS RAM ROM PROM EPROM LÓGICA PROGRAMÁVEL PLAs PALs FPGAs Setembro de 4 MEMÓRIAS - MEMÓRIAS Na sequência do

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 22: Título: Sumário: Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Projecto de máquinas

Leia mais

Introdução aos Circuitos Integrados de Aplicação Específica

Introdução aos Circuitos Integrados de Aplicação Específica Introdução aos Circuitos Integrados de Aplicação Específica João Canas Ferreira Projecto de Circuitos VLSI FEUP/LEEC Contém figuras de Application-Specific Integrated Circuits, Michael J. S. Smith, Addison-Wesley

Leia mais

Memórias. IFRN -Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte 17/01/2013

Memórias. IFRN -Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte 17/01/2013 Aula 05 Memórias Memórias Em um computador, as memórias desempenham um papel tão importante quanto o da CPU. Uma CPU veloz só terá eficiência se a memória for também veloz e relativamente grande. Assim,

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA

PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA TRABALHO DE LABORATÓRIO V PROJECTO DE UM SISTEMA DE FECHADURA ELECTRÓNICA 1. INTRODUÇÃO Pretende-se com este trabalho que os alunos projectem um sistema de fechadura electrónica. Este trabalho é considerado

Leia mais

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos

Leia mais

ü Na década de 1920 os dispositivos mecânicos foram substituídos pelos relés; ü O uso da lógica de relés dificultava modificações do processo;

ü Na década de 1920 os dispositivos mecânicos foram substituídos pelos relés; ü O uso da lógica de relés dificultava modificações do processo; O que são? CLP - CONTROLADOR LÓGICO PROGRAMÁVEL ü O CLP é um computador industrial, capaz de implementar funções de controle (sequência lógica, contagem e temporização), operações lógicas e aritméticas,

Leia mais

Projeto de Lógica Combinatória

Projeto de Lógica Combinatória Projeto de Lógica Combinatória S. W. Song MAC 412 - Organização de Computadores Lógica combinatória Esse assunto já foi visto em Álgebra Booleana. Aqui vamos apenas tratar de alguns tópicos específicos.

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro

Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Laboratório 6 (Trabalho com Relatório) Semana 25 de Outubro a 29 de Outubro Realização de módulo somador-subtractor. Utilização de ambiente Xilinx WebPack de edição de esquemáticos e simulação. Realização

Leia mais

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO)

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO) LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE 2005- COMPUTAÇÃO) 1) Apesar de todo o desenvolvimento, a construção de computadores e processadores continua, basicamente, seguindo a arquitetura clássica de von

Leia mais

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

Plano de Ensino. Leandro Schwarz Endereço eletrônico: Plano de Ensino Disciplina: Dispositivos Lógicos Programáveis Semestre: 2011/1 Turma: 2030311A Carga horária: 80 horas Professor: Leandro Schwarz () Endereço eletrônico: 1. Objetivos A tecnologia de Dispositivos

Leia mais

Prof. Adilson Gonzaga

Prof. Adilson Gonzaga Prof. Adilson Gonzaga Outras características importantes em Microprocessadores/Microcontroladores Redução de Potência de Operação As versões CHMOS (89C51, 89S52, etc ) da família MCS-51 possuem dois modos

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Modelação, Identificação e Controlo Digital

Modelação, Identificação e Controlo Digital Licenciatura em Engenharia Electrotécnica e de Computadores 2003/2004 Semestre de Inverno Modelação, Identificação e Controlo Digital Controlo Digital da Posição de uma Esfera Preparado por Alexandre Bernardino

Leia mais

Conteúdo da embalagem

Conteúdo da embalagem Conteúdo da embalagem 1. Unidade principal da Câmara Web da Prestigio 2. CD utilitário 3. Guia rápido 4. Cartão de garantia Introdução 1. Ligue o computador ou portátil. 2. Insira o conector USB em qualquer

Leia mais

Introdução à arquitectura de microprocessadores. Estilo de projecto (1) Estilo de projecto (2) Registos de uso geral

Introdução à arquitectura de microprocessadores. Estilo de projecto (1) Estilo de projecto (2) Registos de uso geral Introdução à arquitectura de microprocessadores Organização: Projecto de uma arquitectura elementar O microprocessador P-LOGO Implementação do P-LOGO em PALASM A microprogramação e o P-LOGO Introdução

Leia mais

07/06/2015. Outras características importantes em Microprocessadores/Microcontroladores SEL-433 APLICAÇÕES DE MICROPROCESSADORES I

07/06/2015. Outras características importantes em Microprocessadores/Microcontroladores SEL-433 APLICAÇÕES DE MICROPROCESSADORES I SEL-433 APLICAÇÕES DE MICROPROCESSADORES I Redução de Potência de Operação As versões CHMOS (89C51, 89S52, etc ) da família MCS-51 possuem dois modos de controle de redução de potência de operação do chip.

Leia mais

Arquitetura do Microcontrolador Atmega 328

Arquitetura do Microcontrolador Atmega 328 Governo do Estado de Pernambuco Secretaria de Educação Secretaria Executiva de Educação Profissional Escola Técnica Estadual Professor Agamemnon Magalhães ETEPAM Arquitetura do Microcontrolador Atmega

Leia mais

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO:

GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: GUIA DE IMPLEMENTAÇÃO DE CIRCUITOS NA PLACA DE DESENVOLVIMENTO: DIGILENT BASYS 3 E VIVADO WEBPACK 2016. VERSÃO 2.3 - SISTEMAS DIGITAIS - Wilson José Aleksandar Ilic Horácio Neto Nuno Roma Na segunda metade

Leia mais

PLACA MÃE COMPONENTES BÁSICOS BIOS

PLACA MÃE COMPONENTES BÁSICOS BIOS PLACA MÃE COMPONENTES BÁSICOS BIOS COMPONENTES BÁSICOS BARRAMENTO FREQÜÊNCIA GERADOR DE CLOCK MEMÓRIA ROM FIRMWARE BIOS POST SETUP CMOS RTC BATERIA CHIPSET MEMÓRIA SL SLOTS DE EXPANSÃO CACHE SOQUETES PARA

Leia mais

DEFINIÇÃO É TODO AQUELE DISPOSITIVO CAPAZ DE ARMAZENAR INFORMAÇÃO. A

DEFINIÇÃO É TODO AQUELE DISPOSITIVO CAPAZ DE ARMAZENAR INFORMAÇÃO. A U E S C Memória DEFINIÇÃO É TODO AQUELE DISPOSITIVO CAPAZ DE ARMAZENAR INFORMAÇÃO. A Hierarquia de Memória Em um Sistema de computação existem vários tipos de memória que interligam-se de forma bem estrutura

Leia mais

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5

1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO Bases de Numeração Representação de Números em Base 2 5 PREFÁCIO 1 REPRESENTAÇÃO DIGITAL DE INFORMAÇÃO 1 1.1 Bases de Numeração 3 1.1.1 Representação de Números Inteiros em Base b 3 1.1.2 Representação de Números em Base 2 5 1.1.3 Representação de Números Fraccionários

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário UTP128-84 Placa de Desenvolvimento de Sistemas Digitais T&S Equipamentos Eletrônicos R. Raul La Sierra Pereira, 140 Jd. Bandeirante CEP: 13562-170 São Carlos

Leia mais

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo

Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuito de Dados e Circuito de Controlo Aula Anterior Na aula anterior: Memórias: Circuitos e tecnologias de memória: o RAM: Estática Dinâmica

Leia mais

FCA - Editora de Informática xv

FCA - Editora de Informática xv Índice Geral Agradecimentos ix Prefácio xi Índice das Simulações xxv 1 - Introdução ao mundo dos computadores 1 1.1 O computador como ferramenta... 2 1.2 A importância dos computadores... 4 1.3 Processamento

Leia mais

Trabalho Prático Nº3 Porta Paralela

Trabalho Prático Nº3 Porta Paralela Trabalho Prático Nº3 Porta Paralela 1. OBJECTIVOS - Utilização da porta paralela como porto genérico de Entrada e Saída. 2. INTRODUÇÃO A porta paralela é um meio frequentemente utilizado para efectuar

Leia mais

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro

Arquitetura e Organização de Computadores. Processador Registrador Memória. Professor Airton Ribeiro Arquitetura e Organização de Computadores Processador Registrador Memória Professor Airton Ribeiro Processador A função de um computador é executar tarefas com a finalidade de resolver problemas. Uma tarefa

Leia mais

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS

UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS UNIVERSIDADE FEDERAL DE SANTA MARIA CENTRO DE TECNOLOGIA DISCIPLINAS: CIRCUITOS E SISTEMAS DIGITAIS GUIA PRÁTICO AHDL -(Altera Hardware Description Language) Para uso no software MAX+PLUS II Prof. Dr.

Leia mais

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti

Dispositivos Lógicos Programáveis. Prof. Luiz Fernando Copetti Dispositivos Lógicos Programáveis Prof. Luiz Fernando Copetti copetti@utfpr.edu.br luizcopetti@gmx.de Luiz Fernando Copetti Mestre em Ciências UTFPR 2008 Engenheiro Eletrônico UTFPR - 1991 Engenheiro de

Leia mais

Evolução e estado da arte da lógica reprogramável

Evolução e estado da arte da lógica reprogramável Evolução e estado da arte da lógica reprogramável Bernardo Carvalho bernardo@ipfn.ist.utl.pt Instituto de Plasmas e Fusão Nuclear Instituto Superior Técnico Lisboa, Portugal http://www.ipfn.ist.utl.pt

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Construção de um carro elétrico que Irá seguir uma linha previamente traçada.

Construção de um carro elétrico que Irá seguir uma linha previamente traçada. Introdução No mini-projecto 97/98 de sistemas digitais e computadores I pretende-se construir um sistema digital e analógico para controlar um pequeno veiculo eléctrico que irá seguir uma linha de material

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Funções e Portas Lógicas

Funções e Portas Lógicas Funções e Portas Lógicas 2. Funções Lógicas 2 2.1 Introdução 2 2.2 Funções Lógicas Básicas 3 2.2.1 Função Lógica NÃO (NOT) 3 2.2.2 Função Lógica E (AND) 3 2.2.3 Função Lógica OU (OR) 5 2.2.4 Função Lógica

Leia mais

UNIVERSIDADE CATÓLICA DE PELOTAS CENTRO POLITÉCNICO CURSO DE ENGENHARIA ELETRÔNICA DISCIPLINA DE INSTRUMENTAÇÃO ELETRÔNICA

UNIVERSIDADE CATÓLICA DE PELOTAS CENTRO POLITÉCNICO CURSO DE ENGENHARIA ELETRÔNICA DISCIPLINA DE INSTRUMENTAÇÃO ELETRÔNICA UNIVERSIDADE CATÓLICA DE PELOTAS CENTRO POLITÉCNICO CURSO DE ENGENHARIA ELETRÔNICA DISCIPLINA DE INSTRUMENTAÇÃO ELETRÔNICA MONITOR DE MOVIMENTOS COM ACELERÔMETRO Desenvolvido por Maurício Fiss Rodrigues

Leia mais

SISTEMAS DIGITAIS II Enunciados de Laboratório

SISTEMAS DIGITAIS II Enunciados de Laboratório SISTEMAS DIGITAIS II Enunciados de Laboratório Prof. José Sousa 2003/2004 JS/04 0 Sumário Trabalho - Memórias RAM... 2 Trabalho 2 - Memórias EPROM... 3 Trabalho 3 - Circuitos Sequenciais Síncronos Realização

Leia mais

Eletrônica Digital. Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Eletrônica Digital. Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Eletrônica Digital Memórias Semicondutoras PROF. EDUARDO G. BERTOGNA UTFPR / DAELN Memórias Semicondutoras Os circuitos de memória estão presentes em sistemas computacionais como element de armazenamento

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais.

Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais. Os CI são utilizados para implementar os dispositivos e os sistemas utilizados em sistemas digitais. A construção dos CI tem por base um processo tecnológico que, no caso do hardware digital, evoluiu dramaticamente

Leia mais

Sistemas Digitais (SD)

Sistemas Digitais (SD) Sistemas Digitais (SD) Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de Dados Entradas de controlo Saídas de controlo Unidade de controlo Palavra de controlo

Leia mais

Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação CLPs: Norma IEC 61131

Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação CLPs: Norma IEC 61131 Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação CLPs: Norma IEC 61131 Heitor Medeiros Florencio Norma IEC 61131 A norma IEC (International Electrotechnical

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

Circuitos Lógicos e Organização de Computadores

Circuitos Lógicos e Organização de Computadores Circuitos ógicos e Organização de Computadores Capítulo 3 Tecnologia de Ricardo Pannain pannain@puc-campinas.edu.br http://docentes.puc-campinas.edu.br/ceatec/pannain/ Tensão relativas aos níveis lógicos

Leia mais

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola

NOME: TURMA. catavento. Dv 9. sistema electrónico de navegação. Db 9. S bússola Sistemas Digitais, 1ª chamada 26/Jun/2002 (100101110) Página 1 Departamento de Engenharia Electrotécnica e de Computadores Sistemas Digitais (2001/2002) 1ª chamada 26/Junho/2002 Duração: 2horas, sem consulta.

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 2.0 - Português Aula N o 23: Título: Sumário: Máquinas de Estado Microprogramadas: Circuitos de Controlo, Transferência e Processamento de

Leia mais

(deve ser apresentados os bit de transporte sempre que aplicável). [1]

(deve ser apresentados os bit de transporte sempre que aplicável). [1] SISTEMAS DIGITAIS Licenciatura em Engenharia Electrotécnica Licenciatura em Engenharia Informática Exame (ª Chamada) 29 de Janeiro de 2 Antes de começar o exame leia atentamente as seguintes instruções:

Leia mais

3. CPLD (Complex Programmable Logic Device) ROM (Read Only Memory) PAL (Programmable Array Logic) CUPL

3. CPLD (Complex Programmable Logic Device) ROM (Read Only Memory) PAL (Programmable Array Logic) CUPL 3. CPLD (Complex Programmable Logic Device)... 3-2 3.1 OM (ead Only Memory)... 3-2 3.2 PAL (Programmable Array Logic)... 3-4 3.3 CUPL... 3-5 3.3.1 Definição de variável... 3-6 3.3.2 Variáveis Indexadas...

Leia mais