Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS

Tamanho: px
Começar a partir da página:

Download "Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS"

Transcrição

1 Resumo teórico INTRODUÇÃO AOS DISPOSITIVOS LÓGICOS PROGRAMÁVEIS 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM / 2011 (revisão) Os dispositivos lógicos programáveis representam uma nova abordagem no processo de desenvolvimento de sistemas digitais No passado, os projetistas tinham de usar apenas componentes digitais com "funções fixas" disponibilizados no mercado (contadores, decodificadores, deslocadores, etc) Os dispositivos programáveis permitem ao usuário definir uma função a ser desempenhado por um ou vários chips As características mais marcantes destes dispositivos são a programabilidade e a alta capacidade, o que aumenta a eficiência e a flexibilidade dos projetos e, também, diminui o tempo de desenvolvimento do produto (Dueck, 2001) 11 Lógica Programável Os projetistas de circuitos digitais têm à sua disposição uma grande variedade de CIs padronizados, com as mais variadas funções O fato destes CIs serem fabricados por várias empresas e em grande volume faz com que tenham um custo relativamente baixo Por esta razão, a técnica muito comum para a implementação de projetos de sistemas digitais é a interconexão destes CIs padronizados (Tocci, 2007) Apesar de ser amplamente empregado, a utilização de CIs padronizados na implementação de sistemas tem alguns problemas Primeiro, alguns sistemas podem precisar de centenas ou milhares de CIs Este grande número de CIs necessita de um espaço considerável em uma placa de circuito impresso, sem levar em conta o consumo de energia necessária para alimentá-las Um segundo aspecto diz respeito com o tempo necessário para a montagem e testes das placasuma grande quantidade de CIs necessários em um sistema resultam em um tempo maior para colocar e soldar estes componentes na de circuito impresso E a manutenção é o terceiro aspecto Quanto maior o número de componentes, menor é a confiabilidade do sistema Assim, o fabricante do equipamento deve manter um estoque considerável, dispondo de toda a variedade de CIs utilizados no circuito Uma solução para estas questões é reduzir o número de CIs usados no projeto Com isto teremos uma série de vantagens: um menor espaço na placa, um menor consumo de energia (fontes de alimentação menores), processos de fabricação mais rápidos e baratos, maior confiabilidade e uma manutenção mais fácil Para reduzir o número de CIs a serem usados no projeto é necessário colocar mais e mais funções nos chips É claro que isso tem sido feito com as tecnologias LSI, VLSI, ULSI e, mais recentemente, GSI 1, para funções padronizadas como memórias, microprocessadores, sintetizadores de voz, entre outros Esses dispostivos contêm milhares a alguns milhões de portas lógicas conectadas para operar de um modo pré-determinado Existem muitas situações para as quais não existem soluções LSI, VLSI, ULSI e GSI Nesses casos, o projetista se vê obrigado a recorrer aos dispositivos SSI e MSI padrões para obter as funções necessárias O recente desenvolvimento de uma nova categoria de dispositivos, conhecidos como dispositivos lógicos programáveis 2 (PLD), ofereceu aos projetistas uma alternativa para substituir um grande número de CIs padronizados por um único CI Esses dispositivos permitem especificar a sua operação lógica através de um processo chamado programação (Tocci, 2007) 12 Programação de PLDs A programação de PLDs envolve basicamente em manipular as estruturas internas do dispositivo Estas estruturas internas podem ser: fusíveis ou antifusíveis - usados para fazer/remover um contato na formação da soma de produtos da função; chaves eletrônicas - implementados com transistores MOS que armazenam uma carga numa porta adicional; lookup tables - PLDs mais complexos incluem uma pequena memória RAM estática para armazenar a função 1 GSI (giga-scale integration) família de dispositivos com integração em giga-escala, contendo mais de de portas lógicas por chip 2 Os PLDs também são chamados dispositivos de lógica programável Introdução aos Dispositivos Lógicos Programáveis (2011) 1

2 Vamos ilustrar a programação de PLDs com um dispositivo simples, a PLA Para maiores detalhes sobre as outras formas de programação consulte as referências bibliográficas do final do texto Uma PLA possui internamente duas matrizes de portas lógicas (matriz AND e matriz OR), conforme mostrado na figura 11 A matriz AND gera os termosproduto a partir das variáveis de entrada Estes termos-produto são depois conectados pelos elementos da matriz OR Figura 11 - Organização interna de uma PLA As matrizes AND e OR vem com todas as conexões habilitadas, que são compostas por fusíveis Estes fusíveis devem ser queimados para desfazer as ligações A figura 12 mostra um exemplo de programação Para gerar as funções de saída: Oo I2I 1I0 I2I1 I0 I2 I1I0 I I I I I I O A matriz AND gera os quatro termos-produto ( I2 I1I 0, I2 I1 I0, I2 I1 I0 e I2 I1I0 ) E a matriz OR é usada para implementar a soma destes termos-produto, gerando os sinais de saída O 0 e O 1 13 Tipos de Dispositivos Lógicos Programáveis Uma grande variedade de dispositivos programáveis foi desenvolvida nos últimos tempos: 3 (Tocci, 2007), (Wakerly, 2006), (Fregni e Saraiva, 1995) PROM (Programmable Read-Only Memory) precursor dos PLDs, a PROM pode gerar qualquer função lógica possível das variáveis de entrada Contudo é usada apenas para um pequeno número de variáveis de entrada; PLA (Programmable Logic Array) desenvolvido em meados da década de 70, foi o primeiro dispositivo programável sem a estrutura interna da PROM, pois tanto a matriz das portas AND como a matriz das portas OR podem ser programadasnão teve boa aceitação po parte dos projetistas; PAL (Programmable Array Logic) contém uma arquitetura interna similar a da PROM, sendo uma simplificação da PLA, pois apenas as conexões das entradas da matriz das portas AND são programáveis (a matriz das portas OR é fixa) É há muito tempo o tipo de dispositivo programável mais utilizado; GAL (Generic Array Logic) tipo de dispositivo programável que permite a implementação de circuitos sequenciais, pois introduz a possibilidade de emular flip-flops; 3 Para maiores detalhes consulte as referências bibliográficas citadas no texto Introdução aos Dispositivos Lógicos Programáveis (2011) 2

3 Figura 12 - Exemplo de programação de uma PLA CPLD (Complex Programmable Logic Device) combina vários dispositivos do tipo PAL em uma estrutura em forma de matriz Os blocos lógicos têm conexões AND programáveis e conexões OR fixas Quando necessário, vários blocos lógicos podem ser combinados para implementar; FPGA (Field Programmable Gate Array) contém um grande número de blocos lógicos que podem ser programados independentemente Esses blocos contêm lógica combinatória e registradores para circuitos seqüenciais; Gate Array circuitos ULSI que oferecem centenas de milhares de portas lógicas As funções dos blocos lógicos e as interconexões entre eles são determinados nos estágios finais de fabricação do CI Embora o seu custo individual seja bem menor que o custo da FPGA, o processo de programação feita pelo fabricante do CI faz com ue este dispositivo ainda seja uma alternativa cara Os gate arrays são usados para o desenvolvimento de circuitos integrados dedicados a uma aplicação (ASIC applicaton-specific integrated circuit); Os primeiros PLDs eram programados queimando-se fusíveis Uma vez que um fusível tenha sido queimado, ele não pode ser recuperado Desta forma, se houver algum erro de programação ou o projeto tiver de ser modificado, um dispositivo já programado não poderá ser reaproveitado e, assim, terá de ser jogado fora Esse problema foi estudado por diversas empresas que desenvolveram PLDs que pudem ser apagados e reprogramados Tais dispositivos são conhecidos como dispositivos lógicos programáveis e apagáveis ou EPLDs (erasable programmable logic devices) Os EPLDs podem ser programados e apagados da mesma forma que as EEPROMs Nesses dispositivos, os fusíveis são, na verdade, chaves eletrônicas, cujo estado (aberta ou fechada) pode ser modificado eletricamente (Tocci, 2007) Diversos fabricantes de CIs produzem PLDs como Xilinx, Lattice, Altera, entre outros A Xilinx produz a série de FPGAs denominada XC4000, com componentes que contém de 1000 a portas O maior membro da família, o XC4085XL, possui 3136 blocos lógicos configuráveis internos, organizados em uma matriz de 56x56 A Lattice Semiconductor fabrica o GAL 16V8, cuja arquitetura é muito similar ao dos PALs Esse dispositivo tem a característica de poder ser um substituto compatível pino-a-pino genérico da maioria de PALs existentes A Altera produz a famíla de CPLDs MAX7000S, cujo diagrama de blocos é apresentado na figura 12 A principal estrutura do MAX7000S é uma série de Blocos de Matriz Lógica (LABs - logic array blocks), inteconectados entre si por uma Matriz de Interconexão Programável (PIA - programmable interconnect array) Cada LAB é, na realidade, composto por um grupo de 16 macrocélulas, que podem compartilhar os termos-produto das suas variáveis (Duech, 2001) Introdução aos Dispositivos Lógicos Programáveis (2011) 3

4 O CPLD EPM7128S84 é um membro da famíla da Altera e sua identificação tem o seguinte significado: EPM7 família MAX número de macrocélulas S programação "in-circuit" (quando instalado na placa do circuito) LC84 empacotamento PLCC de 84 pinos O EPM7128SLC84 contém 2500 portas utilizáveis, 128 macrocélulas, 8 LABs, 8 pinos de I/O por LAB (totalizando 64 pinos de I/O) Na prática o EPM7128SLC84 deve ser programado na própria placa de circuito, através de quatro pinos dedicados para a interface de programação As macrocélulas que não forem conectados a um pino de I/O de usuário apenas poderá ser usado como um módulo de lógica interna da PLD Uma macrocélula é similar a um GAL, pois ela fornece uma função de soma de produtos como sinal de saída Figura 12 - Diagrama de Blocos do CPLD MAX7000S da Altera Como exemplos de PLDs da Altera mais modernos, podemos citar as famílias FLEX 10K e APEX 20K Os membros da famíla FLEX 10K contém de a portas e de 6144 a bits de memória RAM Já a família APEX 20K apresenta até 1,5 milhões de portas e bits de memória RAM O dispositivo EP20K1500E contém 3456 macrocélulas e até 808 pinos de I/O de usuário Introdução aos Dispositivos Lógicos Programáveis (2011) 4

5 2 UMA METODOLOGIA DE PROJETO DE SISTEMAS DIGITAIS Para que um sistema digital possa ser implementado eficientemente através de PLDs, é necessário adotar uma determinada metodologia A mesma pode ser descrita através do fluxograma mostrado na figura 21 abaixo INÍCIO DEFINIÇÃO SÍNTESE DESCRIÇÃO SIMULAÇÃO AVALIAÇÃO N OK? S OK? S FIM N Figura 21 Metodologia de Projeto de Sistemas Digitais Na primeira etapa (DEFINIÇÃO) o sistema digital (SD) é particionado em dois blocos distintos: o Fluxo de Dados (FD) e a Unidade de Controle (UC) No FD (figura 22) são encontrados os elementos responsáveis pela transformação e/ou armazenamento dos dados do SD (registradores, multiplexadores, portas lógicas, etc) Dados de Entrada SC 1 SC m FD PORTAS, REGS,MUX, Condição 1 Condição n SC Sinal de Controle Dados de Saída Figura 22 - Fluxo de Dados de um Sistema Digital Na UC (figura 23) estão os sinais de entrada e saída responsáveis pelo controle dos elementos do FD, assim como os sinais de entrada e saída do próprio SD Introdução aos Dispositivos Lógicos Programáveis (2011) 5

6 Início Condição 1 Condição n UC Máquina de Estados SC 1 SC 2 SC m Pronto Figura 23 - Unidade de Controle de um Sistema Digital O Sistema Digital (SD) completo pode ser visto na figura 24 Início Dados de Entrada SD UC Máquina de Estados SC 1 SC 2 SC m Condição 1 Condição n FD PORTAS, REGS,MUX, Pronto Dados de Saída Figura 24 - Sistema Digital Completo Na etapa seguinte (DESCRIÇÃO) são gerados os algoritmos responsáveis pelo funcionamento de cada uma das partes definidas na primeira etapa Na AVALIAÇÃO é feita uma simulação do comportamento do SD, com o objetivo de corrigir possíveis erros de concepção do projeto Na SÍNTESE, cada um dos blocos do SD é transformado em elementos de hardware, para serem implementados fisicamente Na SIMULAÇÃO, estes elementos de hardware são testados para verificar se a implementação do SD está funcionando de acordo com a especificação inicial do projeto Introdução aos Dispositivos Lógicos Programáveis (2011) 6

7 3 PROJETO UTILIZANDO A FERRAMENTA QUARTUS II A metodologia vista no item 3 pode ser automatizada através do uso de ferramentas de CAD (Projeto Assistido por Computador) Uma destas ferramentas é o Quartus II, da Altera, utilizada na descrição, compilação, simulação e programação de sistemas digitais implementados através de PLDs Essa ferramenta está disponível na Internet Como mostrado na figura 31, as etapas de síntese e simulação do SD vistas no item anterior podem ser divididas em: entrada de dados, compilação e simulação Após a simulação pode-se implementar fisicamente o SD, através da programação das PLDs ENTRADA DE DADOS COMPILAÇÃO SIMULAÇÃO OK? S N PROGRAMAÇÃO Figura 31 Metodologia de Projeto de SD com o Quartus II A ENTRADA DE DADOS pode ser realizada de três maneiras distintas: Diagrama Lógico (Captura Esquemática) - neste modo, o projetista tem duas opções para descrever o projeto Na primeira opção, o Quartus II permite a importação de arquivos construídos com outras ferramentas, como, por exemplo, OrCAD Na segunda opção, que é a mais utilizada, o Quartus II disponibiliza um aplicativo denominado Editor Gráfico Os símbolos utilizados no diagrama lógico podem ser obtidos de uma biblioteca padrão, ou podem ser gerados pelo próprio projetista, a partir de outros projetos já implementados, permitindo a descrição de forma hierárquica de um sistema digital A biblioteca padrão do Editor Gráfico possui símbolos que representam todos os circuitos integrados da família 74XX, permitindo que um projetista familizarizado com estes componentes descreva o sistema digital de maneira mais rápida e eficiente É importante salientar que estes símbolos apenas implementam as funções lógicas de um 74XX em uma PLD, não possuindo nenhuma outra característica física dos CIs comerciais (pinagem, tempos de propagação, consumo, etc), pois estas últimas serão determinadas pelo tipo de PLD na qual o sistema será implementado Além destes símbolos que representam a família 74XX, o MAX+PLUS II também possui bibliotecas com funções lógicas básicas (flip-flops, portas lógicas, etc) e avançadas (contadores especiais, microprocessadores, etc), sendo que estas últimas bibliotecas devem ser adquiridas de terceiros Arquivo Texto - este modo permite a descrição de um sistema digital através de linguagens de descrição de hardware (HDL) O Quartus II aceita três tipos de HDLs: AHDL, que é uma linguagem proprietária da ALTERA; Verilog e VHDL, que são linguagens padronizadas pelo IEEE, utilizadas mundialmente A ferramenta Quartus II possui um Editor de Textos para apoiar essa forma de descrição do SD Formas de Onda - este modo permite que o projetista descreva o comportamento de um sistema digital através do desenho das formas de onda na entrada e na saída do mesmo Este recurso é utilizado apenas quando o SD é simples e "bem comportado" (por exemplo, um contador síncrono) A descrição é feita através de um Editor de Formas de Ondas Introdução aos Dispositivos Lógicos Programáveis (2011) 7

8 Na COMPILAÇÃO, o projetista determina qual será a PLD que deverá implementar o sistema digital e o Quartus II procura seguir esta diretriz Caso não consiga, é fornecida uma mensagem de erro, e projetista pode optar por escolher outra PLD para implementar o seu sistema, ou deixar que a ferramenta implemente o projeto em mais de uma PLD do tipo escolhido A compilação também é responsável pela geração de todos os arquivos necessários à simulação e programação da PLD Existe também a opção do sistema escolher automaticamente a PLD mais adequada Na SIMULAÇÃO, é possível descrever cada uma das formas de onda de entrada do SD e observar as formas de onda de saída, geradas pela ferramenta Com isto o projetista consegue verificar o funcionamento do SD antes de implementá-lo fisicamente, corrigindo eventuais erros que possam ter ocorrido no projeto Para a simulação também é utilizado o Editor de Formas de Ondas Na PROGRAMAÇÃO, os arquivos gerados pela compilação são transferidos para a PLD, programando a mesma para funcionar de acordo com o projeto descrito anteriormente Esta transferência pode ser feita através de programadores de componentes ou cabos especiais, conectados ao PC 4 BIBLIOGRAFIA 1 Manuais da Altera 2 WAKERLY, J F Digital design: principles and practice 4 th ed, Prentice- Hall, KIME, C R; MANO, M M Logic and computer design fundamentals 3 rd ed, Prentice Hall, GAJSKI, D D Principles of digital design Prentice Hall, FREGNI, E & SARAIVA, A M Engenharia do Projeto Lógico Digital: conceitos e prática Edgard Blücher, TOCCI, RJ; WIDMER, NS; MOSS, GL Digital Systems: principles and applications 10 th ed, Prentice-Hall, DUECK, R K Digital Design with CPLD Applicatons and VHDL Delmar, ERCEGOVAC, M; LANG, T; MORENO, H M Introdução aos Sistemas Digitais Bookman, 2000 Introdução aos Dispositivos Lógicos Programáveis (2011) 8

9 ANEXO 1 RESUMO - DIAGRAMA ASM (VERSÃO PRELIMINAR) ASM Algorithmic State Machine Introdução ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema digital deve realizar, para se obter o comportamento especificado As ações realizadas dependem das entradas externas do sistema digital e também de condições que traduzem a situação em que se encontram a própria unidade de controle e o fluxo de dados Na verdade, ASM é uma representação gráfica do algoritmo que descreve o comportamento do sistema digital, OU seja, é uma ferramenta para descrever a máquina de estados de forma mais completa do que os diagramas de estados apresentados na disciplina PCS-214 (naquela disciplina, os circuitos seqüenciais, que são a realização física das máquinas de estado, eram muito simples e, geralmente, possuíam apenas uma entrada) Um fluxograma ASM parece semelhante aos fluxogramas convencionais, mas deve ser interpretado de outra maneira Nos fluxogramas convencionais há apenas a descrição dos passos a serem seguidos e as decisões a serem tomadas, sem nenhuma relação com a variável tempo Já nos diagramas ASM, além da descrição da seqüência dos eventos há as relações temporais entre os estados da unidade de controle e as ações que ocorrem, em cada estado, em resposta às bordas do CLOCK Uma metodologia alternativa para o Diagrama ASM, para representar máquinas de estados, são as Redes de Petri, assunto que será apresentado na disciplina Organização de Sistemas Digitais O Diagrama ASM O diagrama ASM contém três elementos básicos o bloco de estado; o bloco de decisão; o bloco de saída condicional Na figura A1 estes três blocos são mostrados Descrição dos blocos Bloco do estado: o nome do estado é colocado externamente ao bloco e, dentro do mesmo, aparecem as ações a serem tomadas No exemplo da figura A1 (a), no estado So o registrador R deve ser limpo sincronamente com qualquer clock que ocorra enquanto em So, e a variável COMEÇA deve assumir o valor 1, enquanto em So Observe que a variável COMEÇA deve assumir o valor Zero em todos os estados onde ela não aparece dentro do bloco Bloco de decisão: representa o efeito das entradas, na seqüência de controle A condição mostrada na figura A1 (b) tanto pode ser expressa por uma única variável como por uma expressão booleana Os dois caminhos referem-se aos 2 possíveis valores que a condição pode assumir Introdução aos Dispositivos Lógicos Programáveis (2011) 9

10 Nome Código Binário S0 000 Ações R 0 COMEÇA 0 Condição 1 (b) Bloco de decisão (a) Bloco de estado (com exemplo) S0 R 0 0 INÍCIO 1 De um bloco de decisão Ações PC 0 (c) Bloco de saída condicional (com exemplo) Figura A1 Elementos do ASM Bloco de Saída Condicional: este bloco é característico dos fluxogramas ASM e não existe um equivalente nos fluxogramas convencionais A entrada de um bloco de saída condicional sempre deve se originar numa das saídas de um bloco de decisão Na figura A1 (c) apresenta-se um exemplo Se o ASM está no estado So, a cada pulso de Clock o registrador R é limpo Já o registrador PC também é limpo no estado So, mas apenas se o sinal INÍCIO for igual a 1 Bloco ASM: é o conjunto construído com um bloco de estado e todos os blocos de decisão e de saídas condicionais que ficam entre a saída do bloco de estado e a entrada do mesmo bloco ou de um outro bloco de estado Ver figura A2 A cada borda de subida, as condições dos blocos de decisão são examinadas e, dependendo do seu valor (0 ou 1), seguese para o estado seguinte indicado No exemplo da figura A2, enquanto o sinal INICIO=0, o diagrama fica no estado S 0 Se, num instante qualquer, o sinal INICIO=1, na primeira borda de subida do CLOCK o ASM mudará de estado Nessa mesma borda também é examinado o sinal Q 0, através do qual decide-se se o estado seguinte é S 1 ou S 2 Independentemente de ser S 1 ou S 2, ao mudar de estado, o sinal AVAIL vai para ZERO Observar que a saída condicional só ocorre se INICIO = 1 Pode-se comparar os diagramas ASM, com os diagramas de estado tipo MEALY e tipo MOORE Quando se usam os blocos de saída condicional, tudo se passa como se a solução adotada fosse do tipo MEALY Se a opção for MOORE, os blocos de saída condicional são desnecessários O projeto do circuito lógico descrito por um diagrama ASM é facilitado quando todos os módulos seqüenciais (flipflops, contadores, registradores, etc) forem do tipo com enable Introdução aos Dispositivos Lógicos Programáveis (2011) 10

11 Maiores detalhes encontram-se na referência bibliográfica (Kime & Mano, 2003) S0 AVAIL INÍCIO PC 0 S1 0 Q 0 1 S2 Figura A2 Bloco ASM Introdução aos Dispositivos Lógicos Programáveis (2011) 11

12 ANEXO 2 PLACA MAX7-PCS Foi desenvolvida uma placa que pode ser integrada ao painel de montagens experimentais do Laboratório Digital Abaixo encontramos um esquema da placa MAX7-PCS Introdução aos Dispositivos Lógicos Programáveis (2011) 12

13 ANEXO 3 GLOSSÁRIO AHDL - Altera Hardware Description Language ASIC - Application Specific Integrated Circuit CPLD - Complex Programmable Logic Device EDIF - Eletronic Design Interchange Format (Formato padrão industrial para transferência de arquivos de projetos de circuitos) EPLD - Erasable Programmable Logic Device FPGA - Field Programmable Gate Array FPLD - Field Programmable Logic Device GAL - Generic Array Logic HDL - Hardware Description Language (Linguagem de Descrição de Hardware) JEDEC - Joint Electron Device Engineering Council (Formato padrão para transferência de informações entre o sistema onde o projeto foi desenvolvido e o programador do dispositivo) MPGA - Mask Programmable Gate Array MPLD - Mask Programmable Logic Device PAL - Programmable Array Logic PLA - Programmable Logic Array PLD - Programmable Logic Device PLS - Programmable Logic Sequencer PSA - Programmable Sequencial Array VERILOG - Linguagem HDL VHDL - VHSIC Hardware Description Language VHSIC - Very High Speed Integrated Circuits Introdução aos Dispositivos Lógicos Programáveis (2011) 13

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL

EPUSP - PCS 2308/ LABORATÓRIO DIGITAL LÓGICA PROGRAMÁVEL LÓGICA PROGRAMÁVEL Edith Ranzini e Edson Lemos Horta / 2000 ETM / 2001 (revisão) ETM/2002 (revisão da parte experimental) ETM/2004 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para

Leia mais

Dispositivos de Lógica Programável

Dispositivos de Lógica Programável Dispositivos de Lógica Programável Evolução Válvula no início de 1940 Transistor em 1947 Não aquece como as válvulas Fisicamente menor 1961 primeiro integrado TTL 74LSXX Década de 1970 surge SPLD Simple

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO E CIRCUITOS E ISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 E.T.M./2011 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância

Leia mais

Parte # 2 - Circuitos Combinatórios

Parte # 2 - Circuitos Combinatórios CEFET Departamento de Engenharia Elétrica - DEPEL GELE 7163 Eletrônica Digital Parte # 2 - Circuitos Combinatórios 1 GELE 7163 Eletrônica Digital 2 Referências : Notas de Aula. Mendonça, Alexandre e Zelenovsky,

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 11. Dispositivos Lógicos Programáveis. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 11 Dispositivos Lógicos Programáveis SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira 1. Características Gerais l PLD Programmable Logical Device; l Agrupa um grande número de

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Dispositivo Lógico Programável(PLD)

Dispositivo Lógico Programável(PLD) Dispositivo Lógico Programável(PLD) Para reduzir o número de CI's(Circuitos Integrados) a serem usados num projeto digital, é necessário colocar mais funções em um mesmo chip. Isso tem sido feito com evolução

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados.

Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis Pearson. Todos os direitos reservados. Capítulo13 Arquiteturas de Dispositivos Lógicos Programáveis slide 1 Temas abordados nesse capítulo: - Descrever as diferentes categorias de dispositivos de sistemas digitais. - Descrever os diferentes

Leia mais

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 11 Introdução à Lógica Programável Prof. Antonio Heronaldo de Sousa Agenda - Introdução - Lógica Programável - Dispositivos de Lógica Programável - Arranjos Lógicos Programáveis

Leia mais

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS

SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS SIMULAÇÃO DE CIRCUITOS E DISPOSITIVOS PROGRAMÁVEIS Edson T. Midorikawa / 2007 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro

Leia mais

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz

Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Dispositivos Lógicos Programáveis (PLDs) Leandro Schwarz Conceito PLD - Programmable Logic Device; Circuito integrado com grande número de portas lógicas configuráveis, flip-flops e registradores; Permite

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS. SEL Sistemas Digitais Prof. Homero Schiabel DISPOSITIVOS LÓGICOS PROGRAMÁVEIS SEL 414 - Sistemas Digitais Prof. Homero Schiabel 1. Introdução Operação do circuito lógico pode ser descrita por: Tabela da Verdade Expressão booleana Dispositivo de

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Sistemas Digitais I LESI :: 2º ano. Introdução

Sistemas Digitais I LESI :: 2º ano. Introdução Sistemas Digitais I LESI :: 2º ano Introdução António Joaquim Esteves João Miguel Fernandes www.di.uminho.pt/~aje Bibliografia: capítulo 1, DDPP, Wakerly DEP. DE INFORMÁTICA ESCOLA DE ENGENHARIA UNIVERSIDADE

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP. 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS DLP 15/8/2013 Prof. Joselito ELP1DLP1 / npee / DEE 1 1. FAMILIAS DE CIRCUITOS LÓGICOS DIGITAIS 1.1. Família Lógica TTL 1.2. Família Lógica MOS/CMOS 15/8/2013 Prof. Joselito

Leia mais

PROJETO DE CIRCUITOS COM MAX+PLUS II

PROJETO DE CIRCUITOS COM MAX+PLUS II PROJETO DE CIRCUITOS COM MAX+PLUS II Edith Ranzini, Edson Lemos Horta e Edson T. Midorikawa / 2002 RESUMO Este material apresenta, de uma maneira breve, o uso do MAX-PLUS II no desenvolvimento de um circuito

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar

PROJETO LÓGICO DE COMPUTADORES Prof. Ricardo Rodrigues Barcelar - Aula 1 - O NÍVEL DA LÓGICA DIGITAL 1. INTRODUÇÃO Na parte inferior da hierarquia da figura abaixo encontramos o nível da lógica digital, o verdadeiro hardware do computador. Este nível situa-se na fronteira

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte IV) Prof. a Joseana Macêdo Fechine Régis de Araújo joseana@computacao.ufcg.edu.br

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008.

Turma PCS2304. Objetivos. Site da disciplina. Professor Edson Midorikawa Edson T. Midorikawa 25/02/2008. Turma Projeto Lógico L Digital Edson T. Midorikawa 25/02/2008 Professor Edson Midorikawa edson.midorikawa@poli.usp.br Horário de atendimento: 6ª feira das 10h00 às 11h00 na sala C2-20 Turma 4 automação

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 1 - Dispositivos Lógicos Programáveis Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João del-rei 19 de fevereiro

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I Parte 0 Introdução Professor Dr. Michael Klug 1 Analógico x Digital Representações: Analógica = contínua Digital = discreta (passo a passo) 2 Vantagens Técnicas Digitais 1) Fáceis

Leia mais

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial Aula 1. Computação Digital FPGA & VHDL Tutorial Aula 1 Computação Digital FPGA Field Programmable Gate Array Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programáveis ) para desempenhar uma

Leia mais

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 26/08/2015 Prof. Alexandre - ELP1DLP1 1 DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP 26/08/2015 Prof. Alexandre - ELP1DLP1 1 26/08/2015 Prof. Alexandre - ELP1DLP1 2 INTRODUÇÃO A LÓGICA DIGITAL Circuitos Integrados (CI): Fonte: Brown, S., Vranesic,

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2012 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais

Circuitos Digitais Representação Numérica. Sistema Digital. Circuitos Digitais. Conversão A/D e D/A. Circuitos Digitais 2 Sistemas Digitais Aula 2 Introdução à Sistemas Embarcados Prof. Abel Guilhermino Centro de Informática Universidade Federal de Pernambuco Circuitos Digitais Representação Numérica Analógica As entradas

Leia mais

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva

Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva Profa. Luiza Maria Romeiro Codá Profa. Dra Maria Stela Veludo de Paiva são circuitos integrados programáveis pelo usuário, Não apresentam uma função lógica definida, até que sejam configurados. pssuem

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro E.T.M./2005 E.T.M./2006 (revisão) E.T.M./2011 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior n Na aula anterior: u Circuitos de controlo, transferência e processamento de dados u Exemplo de uma arquitectura simples de um processador 2 Planeamento

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Versão 2007 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz). Através da

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Freqüencímetro Freqüencímetro E.T.M./2005 E.T.M./2006 (revisão) RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Sistemas Digitais (SD) Lógica Programável

Sistemas Digitais (SD) Lógica Programável Sistemas Digitais (SD) Lógica Programável Aula Anterior Na aula anterior: Circuitos de controlo, transferência e processamento de dados Exemplo de uma arquitectura simples de um processador Prof. Nuno

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

PCS 3115 Sistemas Digitais I

PCS 3115 Sistemas Digitais I PCS 35 Sistemas Digitais I Módulo 7 Introdução às Memórias Edison versão:. (maio de 28). Conceituação Dispositivos que armazenam dados em grandes quantidades. Flip-Flop s e registradores também têm esta

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 4.0 - Português Aula N o 25: Título: Sumário: Lógica Programável Lógica programável (ROM, PLA, PAL e FPGA); Linguagens de descrição de hardware

Leia mais

Painel Luminoso com LEDs

Painel Luminoso com LEDs Painel Luminoso com LEDs Edson T. Midorikawa/2006 RESUMO Esta experiência consiste no projeto e na implementação do circuito de controle de um painel luminoso composto por LEDs (diodos emissores de luz).

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Standards para Memórias

Standards para Memórias Standards para Memórias Os fabricantes de memórias utilizam várias notações para a descrição dos sinais de temporização das memórias. Na tentativa de uniformizar essas notações foi proposto um standard

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO E CIRCUITOS Versão 2012 RESUMO Nesta experiência será discutido o procedimento de simulação de circuitos digitais, em particular sua importância dentro de uma metodologia para projeto de sistemas

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL

EPUSP PCS 2011/2305/2355 Laboratório Digital ARITMÉTICA DECIMAL ARITMÉTICA DECIMAL Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma e subtração decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283).

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário

T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário T&S EQUIPAMENTOS ELETRÔNICOS Manual do Usuário UTP128-84 Placa de Desenvolvimento de Sistemas Digitais T&S Equipamentos Eletrônicos R. Raul La Sierra Pereira, 140 Jd. Bandeirante CEP: 13562-170 São Carlos

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./23 (revisão e adaptaçào) M.D.M. e E.T.M. (revisão) E.T.M./28 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados de soma binária para o desenvolvimento

Leia mais

SIMULAÇÃO DE CIRCUITOS

SIMULAÇÃO DE CIRCUITOS SIMULAÇÃO DE CIRCUITOS Edson T. Midorikawa, Ricardo Caneloi dos Santos e Dante Tantalean / 2002 E.T.M./2003 (revisão) E.T.M./2004 (revisão) E.T.M./2005 (revisão) RESUMO Nesta experiência serão discutidos

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Sistemas Digitais MEMÓRIAS -! SUMÁRIO:! MEMÓRIAS INTEGRADAS! RAMs! ROMs! LÓGICA PROGRAMÁVEL! PROMs! PLAs! PALs! FPGAs! IMPLEMENTAÇÃO DE MÁQUINAS DE ESTADO UTILIZANDO

Leia mais

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 17 Máquina de Estados Parte 1 SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Bibliografia l l l Tocci, R. J.; Widmer, N. S. Sistemas Digitais Princípios e Aplicações. 8ª Ed.,

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES

EPUSP PCS 2011/2305/2355 Laboratório Digital CALCULADORA SIMPLES CALCULADORA SIMPLES E.T.M./2003 (revisão e adaptaçào) M.D.M. e E.T.M./2006 (revisão) E.T.M./2008 (revisão) E.T.M./20 (revisão) RESUMO Esta experiência tem por objetivo a utilização de circuitos integrados

Leia mais

PROJETO DE SISTEMAS DIGITAIS

PROJETO DE SISTEMAS DIGITAIS PROJETO DE SISTEMAS DIGITAIS Edson Midorikawa emidorik@usp.br Departamento de Engenharia de Computação e Sistemas Digitais Escola Politécnica da Universidade de São Paulo Versão 1.1 (28/09/2011) Objetivo:

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

Unidade Lógica e Aritmética

Unidade Lógica e Aritmética Unidade Lógica e Aritmética J.L.R.B. e P.S.C./2001 (revisão) E.T.M./2002 (revisão e adaptação) E.T.M./2003 (revisão) E.T.M./2005 (revisão) E.T.M./2008 (revisão) RESUMO Esta experiência tem por objetivo

Leia mais

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL

SISTEMAS DIGITAIS MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL MEMÓRIAS E CIRCUITOS DE LÓGICA PROGRAMÁVEL Setembro de 4 MEMÓRIAS - SUMÁRIO: MEMÓRIAS INTEGRADAS RAM ROM PROM EPROM LÓGICA PROGRAMÁVEL PLAs PALs FPGAs Setembro de 4 MEMÓRIAS - MEMÓRIAS Na sequência do

Leia mais

Processador nanopcs-3

Processador nanopcs-3 Processador nanopcs-3 E.T.M./2011 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas e de transferência

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias

ELE Microprocessadores I. AULA 12 Arquitetura do Microprocessador Interface com as memórias ELE 1078 - Microprocessadores I AULA 12 Arquitetura do Microprocessador 8085 -Interface com as memórias 12.1 - Estrutura das Memórias Memória de Leitura / Escrita (R / W memory). Grupo de registradores;

Leia mais

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio

ARQUITETURA DE COMPUTADORES. Nível da Lógica Digital. Prof.: Agostinho S. Riofrio ARQUITETURA DE COMPUTADORES Nível da Lógica Digital Prof.: Agostinho S. Riofrio Agenda 1. Portas Lógicas 2. Algebra de Boole 3. Equivalencia de circuitos 4. Circuitos Lógicos Digitais 5. Relógio 6. Memória

Leia mais

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1

PROJETO DE SISTEMAS. DIGITAIS UTILIZANDO FPGAs. Parte 1 Pontifícia Universidade Católica de São Paulo Centro das Ciências Exatas e Tecnologia Engenharia Elétrica PROJETO DE SISTEMAS DIGITAIS UTILIZANDO FPGAs Parte 1 Prof Edson Lemos Horta Profª Edith Ranzini

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil.

Circuitos Lógicos. Profa. Grace S. Deaecto. Faculdade de Engenharia Mecânica / UNICAMP , Campinas, SP, Brasil. Circuitos Lógicos Profa. Grace S. Deaecto Faculdade de Engenharia Mecânica / UNICAMP 13083-860, Campinas, SP, Brasil. grace@fem.unicamp.br Segundo Semestre de 2013 Profa. Grace S. Deaecto ES572 DMC / FEM

Leia mais

PROJETO DE SISTEMAS DIGITAIS

PROJETO DE SISTEMAS DIGITAIS PROJETO DE SISTEMAS DIGITAIS Edson Midorikawa emidorik@usp.br Departamento de Engenharia de Computação e Sistemas Digitais Escola Politécnica da Universidade de São Paulo Objetivo: Este documento apresenta

Leia mais

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar

EPUSP PCS 2021 Laboratório Digital II. Sistema de Radar Sistema de Radar Versão 2015 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a detecção de objetos próximo com um sensor ultrassônico de distância e um servo-motor. A implementação

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012

Adriano J. Holanda FAFRAM. 4 e 11 de maio de 2012 Memória Adriano J. Holanda FAFRAM 4 e 11 de maio de 2012 Trilha Introdução Hierarquia da memória Memórias RAM Memória ROM Introdução Arquitetura de Von Neumann Memória Aritmética Controle E/S Unidade central

Leia mais

Introdução ao Max+Plus II

Introdução ao Max+Plus II Universidade Federal Fluminense Escola de Engenharia Departamento de Engenharia de Telecomunicações Técnicas Digitais A Laboratório no. 02 Objetivo Iniciar o aprendizado do software Max+Plus II utilizado

Leia mais

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr.

PCS 3115 Sistemas Digitais I. Memórias & FPGAs. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.2 PCS 234 Sistemas Digitais II Memórias Dispositivos

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC

Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Livro texto: VHDL- Descrição e Síntese de Circuitos Digitais Roberto D Amore Editora LTC Linguagem para descrever o funcionamento de um sistema (o que e como o sistema faz). O sistema descrito em HDL

Leia mais

Projeto Moderno de Sistemas Digitais

Projeto Moderno de Sistemas Digitais Projeto Moderno de Sistemas Digitais Edson Midorikawa 1 Tópicos Projeto Convencional Projeto com HDLs e FPGAs Fluxo de Projeto Moderno Codificação em HDLs Altera DE2 Digilent Nexys 3 2 Tecnologias de Lógica

Leia mais

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul

Pontifícia Universidade Católica Federal do Rio de Santa Grande Catarina do Sul DEPARTAMENTO: Engenharia Elétrica CURSO: Engenharia Elétrica DISCIPLINA: Sistemas Digitais CÓDIGO: EEL 7020 CRÉDITOS: 04 (02 Teoria e 02 Prática) CARGA HORÁRIA: 72 horas-aula REQUISITOS: OFERTA: Pré-requisito:

Leia mais

Análise e Projeto de Circuitos Combinacionais e Sequenciais

Análise e Projeto de Circuitos Combinacionais e Sequenciais Análise e Projeto de Circuitos Combinacionais e Sequenciais Referência bibliográfica: - Digital Design: Principles and Practices - Wakerly - Elementos de Eletrônica Digital Idoeta e Capuano - Introduction

Leia mais

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO)

LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE COMPUTAÇÃO) LISTA DE EXERCÍCIOS #2 (BASEADO NO ENADE 2005- COMPUTAÇÃO) 1) Apesar de todo o desenvolvimento, a construção de computadores e processadores continua, basicamente, seguindo a arquitetura clássica de von

Leia mais

EPROM - EEPROM Dispositivos Lógicos Programáveis

EPROM - EEPROM Dispositivos Lógicos Programáveis EPROM - EEPROM Dispositivos Lógicos Programáveis Matuzalém Muller dos Santos Mathias Silva da Rosa 20 de fevereiro de 2015 Resumo Este artigo tem como objetivo apresentar uma breve descrição sobre o desenvolvimento

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a Sistemas Digitais Definição Sistemas Digitais Projeto Revisão: Circuitos Combinacionais Circuitos Sequênciais Máquinas de Estados Sistemas Digitais Definição Um sistema digital é um sistema

Leia mais

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018)

PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (2018) PCS 3115 Sistemas Digitais I Memórias & FPGAs Prof. Dr. Marcos A. Simplicio Jr. Adaptado por Glauber (218) Andrade, Midorikawa, Saraiva, Símplicio e Spina 2.12 PCS 234 Sistemas

Leia mais

Primeiro Circuito Digital

Primeiro Circuito Digital Primeiro Circuito Digital Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto de um

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais