Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Documentos relacionados
Funções de Lógica Combinacional

Circuitos Seqüenciais

CIRCUITOS SEQUENCIAIS parte 1

Lógica Reconfigurável

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Disciplina de SSC Elementos de Lógica Digital II (Prática)

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

Introdução à Linguagem VHDL

Circuitos Seqüenciais

Circuitos Digitais. Tipos de circuitos digitais: Circuitos combinacionais Circuitos sequenciais

Introdução à Linguagem VHDL

LABORG. VHDL Máquina de estados finitos

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

Eletrônica Digital para Instrumentação. Herman Lima Jr.

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Lógica Reconfigurável

Aula 12. Flip-Flop Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

CMP238 Projeto e Teste de Sistemas VLSI

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

Introdução a Sistemas Digitais

Exercícios de Fixação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Exercícios de Laboratório 3

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

Sistemas Digitais Módulo 10 Circuitos Sequenciais: Latches e Flip-Flops

CIRCUITOS SEQUENCIAIS. Adão de Melo Neto

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Módulo 4 Introdução ao VHDL

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Sistemas Digitais. PALs Sequenciais Parâmetros Temporais em PALs Sequenciais ABEL Registos Contadores Registos de Deslocamento ( Shift Registers )

Introdução a Sistemas Digitais

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt

Shift Registers e Contadores Assíncronos

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

CONTADORES DIGITAIS (Unidade 6)

Introdução a Sistemas Digitais

PDA de Sistemas Digitais em Circuitos Programáveis

ELETRÔNICA DIGITAL II

Eletrônica Digital I TE050. Circuitos Seqüenciais

Exercícios Referentes à Prova P2

FPGA & VHDL. Tutorial

Biestáveis R S, J K e D

CIRCUITOS DIGITAIS. Circuitos Sequenciais e Flip-Flops. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau

Circuitos Sequenciais

Modelação de circuitos síncronos

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 18/04/2016 Prof. Alexandre - ELP1DLP1 / DEE

Latch SR (Set/Reset)

ELD - Eletrônica Digital Aula 6 Circuitos Sequenciais Latches e Flip-Flops. Prof. Antonio Heronaldo de Sousa

Organização e Arquitetura de Computadores I

ISE com VHDL estrutural

EELi02. Prof. Vinícius Valamiel

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

Marco A. Zanata Alves PROJETOS DIGITAIS E MICROPROCESSADORES 1

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

VHDL Circuitos Combinacionais

Eletrônica Digital. Circuitos Lógicos Sequenciais PROF. EDUARDO G. BERTOGNA UTFPR / DAELN

Flip-Flop. Uma das coisa importantes que se pode fazer com portas booleanas é criar memória.

Capítulo 5 - Flip-Flops e Dispositivos Correlatos

CAPÍTULO 6. Introdução aos Circuitos Lógicos Seqüenciais

SISTEMAS DIGITAIS (SD)

Exercícios Referentes à Prova P1

UFJF FABRICIO CAMPOS

CIRCUITOS DIGITAIS. Contadores. Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau. Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau

Antes de começar o exame leia atentamente esta folha de rosto

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

VHDL Lógica Síncrona. Sinais de Saída. Sinais de Entrada. barreira. carg. VHDL -Lógica Síncrona

Projeto com Dispositivos Programáveis

Circuitos sequenciais

Lógica Reconfigurável

Revisão: Projeto de Processadores em VHDL

Aula 2 Semântica de VHDL

Teste 2 Sistemas Digitais - MEEC 2009/10 1

SISTEMAS DIGITAIS (SD)

Treinamento em Projeto de Sistemas Digitais

Flip-Flops, Registros e Contadores

Aula 14. Contadores Assíncronos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

CIRCUITOS SEQUENCIAIS (Unidade 5)

VHDL. Prof. Maurício A Dias Laboratório de Lógica Digital

Sistemas Digitais (SD) Contadores

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Circuitos Seqüenciais

Sistemas Digitais (SD) Síntese de Circuitos Sequenciais: Definições

Eletrônica Digital. Prof. Gilson Yukio Sato sato[at]utfpr[dot]edu[dot]br

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

SSC512 Elementos de Lógica Digital. Latches e Flip Flops. GE4 Bio

UNIVERSIDADE FEDERAL DO CEARÁ DEPARTAMENTO DE ENGENHARIA EM TELEINFORMÁTICA DISCIPLINA: PROJETO LÓGICO DIGITAL PROFESSOR: ALEXANDRE COELHO

Introdução a Sistemas Digitais

CIRCUITOS SEQUENCIAIS (Unidade 5)

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados:

Transcrição:

Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem nada de VHDL D'AMORE, Roberto. VHDL: Descrição e Síntese de Circuitos Digitais. Rio de Janeiro: LTC, 2005. 259 p. Cap 6 Descrição de Circuitos Síncronos Digital Design and Computer Architecture Sec 2.9, Cap 3, 4, 5 Free Range VHDL Vahid, Cap 3 Parte referente à flip-flop e registradores PEDRONI, Volnei A. Eletrônica Digital Moderna e VHDL. Elsevier Ltda. Editora, Rio de Janeiro, RJ: 2010. 619 p. Teoria: Cap 11 e 12 VHDL: Cap 20 e 21

3 ATENÇÃO Não se aprende alguma linguagem : Escutando alguém explicar Somente lendo livros Aprende praticando Exercício EXTRA-CLASSE é FUNDAMENTAL! Façam exercícios sugeridos e procurem outros nos livros indicados

4 Foco deste PPT Ênfase na representação RTL e FSM em VHDL Níveis de abstração típicos: 1o : máscara de layout (baixa abstração) 2o: diagrama de transistores 3o: portas lógicas (sequenciais) 4o: blocos / funções lógicos 5o: nível de registradores

Sistemas Digitais Definição funcional: Aparato dotado de conjuntos finitos de entradas e saídas e capaz de processar informação representada sob forma discreta Representação estrutural: e 0 e 1 Sistema Digital s 0 s 1 e m-1 s n-1 Processamento discreto de Informação Subdivisão: Circuitos combinacionais Circuitos seqüenciais

Definição: Sistemas Digitais Seqüenciais Circuito, cujo comportamento de cada saída é descrito como função dos valores instantâneos das entradas e de seus valores passados Intuitivamente esta definição leva ao conceito de memória, responsável por armazenar os valores passados Memórias requerem sinais de controle para determinar os instantes de carga e os valores de inicialização Representação:

Sistemas Digitais Seqüenciais Circuito seqüencial pode ser representado por um circuito combinacional associado à uma memória A parte combinacional tem como entradas, as entradas externas (entradas atuais) e as entradas internas, provenientes da memória (entradas passadas), que fornecem o estado do circuito A parte combinacional tem como saídas, as saídas externas (saídas do circuito seqüencial) e as saídas internas (fornecem o cálculo do próximo estado) para o circuito A memória tem como entradas o valor do próximo estado que será armazenado e sinais de controle Os principais sinais de controle são o relógio (do inglês, clock) e sinais de inicialização, tais como set, reset, clear, e outros. Estes últimos usados para iniciar a operação do circuito seqüencial em um estado conhecido Circuitos seqüenciais são normalmente divididos em síncronos e assíncronos Síncronos são aqueles cujas transições são dependentes do evento de um sinal único de sincronismo normalmente chamado de relógio Assíncronos são aqueles cujas transições não são coordenadas por um único sinal

Relógio Relógio é uma onda periódica com freqüência, fase e amplitude. O objetivo deste sinal é determinar os instantes de tempo em que o circuito seqüencial deve avaliar as suas entradas Exemplo: Abaixo seguem dois relógios CK1 e CK2 com períodos 20ns e 30ns, respectivamente. Conseqüentemente com freqüências 50MHz e 33,33MHz CK1 CK2 Exercícios: 1. Desenhar um relógio com as seguintes características: freqüência de 1GHz, com 25% do período em 1 e 75% em 0 2. Fazer o VHDL que corresponde ao relógio desenhado

9 LATCHES & FLIP-FLOPS

10 Latch D c/ enable * Floyd. Cap 7

11 Latch D em VHDL * Digital Design and Computer Architecture. Cap 4

12 Flip-Flop D Sensível à Borda

13 Timing Latch vs FF D * Digital Design and Computer Architecture. Sec 2.9

14 Timing Latch vs FF D * Digital Design and Computer Architecture. Sec 2.9

15 FF D em VHDL * mais exemplos no capítulo 12 do Free Range VHDL

16 FF D com Reset

17 FF D com Load

18 FF D com set/reset Digital Logic and Microprocessor Design with VHDL (Chapter 6 Latches and Flip-Flops)

19 Resumo de Latches e FFs *Floyd

20 Atividade da Próxima Aula Atividade p Próxima Aula Entregar no ínicio da aula Descrever em VHDL Flip-flop D com set, reset, load

21 Registradores

22 Registrador em VHDL

23 Como determinar o período do relógio * Digital Design and Computer Architecture. Sec 2.9

24

25 Timing de Circuito Síncrono * Digital Design and Computer Architecture. Sec 3.5

26 Extra-classe: Entrega na Próxima Aula Atrasos: Inversores: 1ns NAND e NOR: 3ns AND e OR: 5ns FF: 10ns Implementar em VHDL e simular 2 cenários: - período do clock MAIOR que caminho crítico - período do clock MENOR que caminho crítico

27 RTL

28 Register Transfer Level (RTL) Solução: Listing 9.2, Free Range VHDL

29 Atividades Extra-Classe Fazer pelo menos 2 exercícios da Seção 9.2 do livro Free Range VHDL

30 BLOCOS SEQUENCIAIS

31 Contador simples * Digital Design and Computer Architecture. Sec 5.4

32 Registrador de Deslocamento *Free Range

33 Divisor de Clock

34 Divisor de Clock div2 div4 *Free Range

35 Aplicação de Divisores *Floyd

36 Atividade da Próxima Aula Atividade p Próxima Aula Baseado no exemplo anterior do contador usando flops e um somador '+1', desenvolva a seguinte extensão: contador (flop + 1) que tenha opção de reset para zerar o contador e enable para carga de valor inicial em datain. desta forma, a entidade deve ter os seguintes pinos: clock (in 1bit) reset (in 1bit) enable (in 1bit) datain (in 4 bits) dataout (out 4 bits) o pino 'datain' tem o valor inicial, que deve ser carregado no flop quando o 'enable' estiver habilitado. o pino dataout tem o valor atual do contador.

37 FSM FINITE STATE MACHINE

38 Problema FSM VHDL VHDL Solução completa em Digital Design and Computer Architecture. Sec 3.4.15

39 Tipos de FSM Moore: valor de saída depende somente do estado atual Mealy: valor de saída depende do estado atual e das entradas

40 Exemplo em VHDL

41 FSM (Moore) em VHDL y= 0 y= 1 y= 0

42 Reset w = 1 w = 0 A z = 0 B z = 0 w = 0 w = 0 w = 1 Que tipo de máquina de estados é esta? O que faz esta FSM? C z = 1 w = 1 * Fundamentals of Digital Logic with VHDL Design

43 FSM Moore LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY moore IS PORT ( Clock, Resetn, w : IN STD_LOGIC ; z : OUT STD_LOGIC ) ; END moore ;

44 w = 0 Create a user-defined signal type. y represents the state flip-flops Process statement describes the FSM as a sequential circuit. Reset A z = 0 w = 1 w = 0 C z = 1 B z = 0 w = 0 w = 1 w = 1 7 ARCHITECTURE Behavior OF moore IS 8 TYPE State_type IS (A, B, C) ; 9 SIGNAL y : State_type ; 10 BEGIN 11 PROCESS ( Resetn, Clock ) 12 BEGIN 13 IF Resetn = '0' THEN 14 y <= A ; 15 ELSIF (Clock'EVENT AND Clock = '1') THEN 16 CASE y IS 17 WHEN A => 18 IF w = '0' THEN 19 y <= A ; 20 ELSE 21 y <= B ; 22 END IF ; 23 WHEN B => 24 IF w = '0' THEN 25 y <= A ; 26 ELSE 27 y <= C ; 28 END IF ; 29 WHEN C => 30 IF w = '0' THEN 31 y <= A ; 32 ELSE 33 y <= C ; 34 END IF ; 35 END CASE ; 36 END IF ; 37 END PROCESS ; 38 z <= '1' WHEN y = C ELSE '0' ; 39 END Behavior ; VHDL compiler automatically determines the correct number of state flip-flops required. Sensitivity list Reset is asynchronous Positive edge-triggered The Case statement describes the behavior of the FSM. Each When clause represents one state in the FSM. The When clauses correspond to the states in the state diagram. Output specified.

45 Reset w = 1 z = 0 w = 0 z = 0 A w = 0 z = 0 B w = 1 z = 1 Que tipo de máquina de estados é esta? O que faz esta FSM? * Fundamentals of Digital Logic with VHDL Design

46 FSM Mealy LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY mealy IS PORT ( Clock, Resetn, w : IN STD_LOGIC ; z : OUT STD_LOGIC ) ; END mealy ;

47 Create a user-defined signal type. y represents the state flip-flops Process statement describes the FSM as a sequential circuit. ARCHITECTURE Behavior OF mealy IS TYPE State_type IS (A, B) ; SIGNAL y : State_type ; BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN y <= A ; ELSIF (Clock'EVENT AND Clock = '1') THEN CASE y IS WHEN A => IF w = '0' THEN y <= A ; ELSE y <= B ; END IF ; WHEN B => IF w = '0' THEN y <= A ; ELSE y <= B ; END IF ; END CASE ; END IF ; END PROCESS ; VHDL compiler automatically determines the correct number of state flip-flops required. Sensitivity list Reset is asynchronous Positive edge-triggered This Case statement describes the behavior of the FSM. PROCESS ( y, w ) BEGIN CASE y IS WHEN A => z <= '0' ; WHEN B => z <= w ; END CASE ; END PROCESS ; END Behavior ; This Case statement describes the behavior of the output.

48 Atividade da Próxima Aula Atividade p Próxima Aula Entregar no início da aula Descrever em VHDL Comportamento Descrever FSM que, quando encontrar o padão 10101 na entrada datain, ativa a saída found. Entidade datain : in bit Clk, rest: in bit found: out bit

49 MEMÓRIAS

50 Memória *Floyd. Cap 10

51 Escrita em Memória

52 Leitura em Memória

53 Tipos de RAM

54 SRAM

55 Memória SRAM Quantos bits de endereço deve ter uma memória de 4Mx8?

56 Ciclo de Leitura e Escrita

57 SRAM em VHDL * Digital Design and Computer Architecture. Sec 5.5

58 DRAM

59 Tipos de Memória ROM

60 ROM 16 x 8 bits

61 Temporização da ROM

62 ROM em VHDL

63 Combinação de ROMs

64 Combinação de RAMs

65 Resumo dos tipos de memórias

66 PROCESSADOR GENÉRICO

67 Detalhes em OAC1!!! * Digital Logic and Microprocessor Design With VHDL by Enoch O. Hwang

68 Atividade da Próxima Aula Atividade p Próxima Aula Entregar no início da aula Descrever em VHDL uma memória RAM 1Kx16 usando memórias de 512x8