LABORG. VHDL Máquina de estados finitos

Documentos relacionados
Lógica Reconfigurável

LABORG. VHDL Projeto cronômetro

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Os sistemas combinacionais a saída depende exclusivamente das condições das entradas, portanto o sistema não possui memória interna.

Exercícios de Fixação

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

FSM em VHDL e Verificação Funcional. CMP de junho de 2006

LABORG. Parte 3 - VHDL: Processos, Paralelismo e o Comando process. Fernando Gehm Moraes Ney Laert Vilar Calazans

Implementação de um Sistema Digital em VHDL Cronômetro para Jogos de Basquete

Organização e Arquitetura de Computadores

Introdução a Sistemas Digitais

AULA 5 Aplicação com divisor de freqüência com o CI Livro Texto pág.197 a 200.

Funções de Lógica Combinacional

LABORG. Parte 5 Projeto de um circuito digital de média complexidade. Fernando Gehm Moraes Matheus Trevisan

Máquinas de Estados Finitos. Aula 19 Prof. Abel Guilhermino

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Exercícios Referentes à Prova P1

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Projeto de Circuito Combinacional

FPGA & VHDL. Tutorial Aula 1. Computação Digital

FPGA & VHDL. Tutorial

Aula 7 SISTEMAS DIGITAIS. Linguagem de Descrição de Hardware VHDL Maquinas de Estados. Prof. Fernanda Gusmão de Lima Kastensmidt

VHDL Circuitos Combinacionais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

ELETRÔNICA DIGITAL II

Organização e Arquitetura de Computadores I

Projeto com Dispositivos Programáveis

Suporte de funcionamento e interacção com o teclado

Eletrônica Digital para Instrumentação. Herman Lima Jr.

Introdução à Linguagem VHDL

Circuitos Seqüenciais

Exercícios Referentes à Prova P2

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Circuitos Seqüenciais

Módulo 4 Introdução ao VHDL

PCS3225. Sistemas Digitais II. Biestáveis em VHDL. Biestáveis em VHDL. Marcos A. Simplicio Jr.

CIRCUITOS SEQUENCIAIS parte 2 Máquina de Estados Finita (FSM)

Introdução a Sistemas Digitais

Análise de Circuitos Sequënciais Máquinas de Mealy e Moore

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

Demonstração de imagens de auxílio didático. VHDL - Descrição e Síntese de Circuitos Digitais Roberto d Amore

ISE com VHDL estrutural

Exercícios de Laboratório 3

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

CIRCUITOS SEQUENCIAIS parte 1

Treinamento em Projeto de Sistemas Digitais

II Escola Regional de Mato Grosso do Sul - II ERI-MS

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Modelação de circuitos síncronos

Eletrônica Digital para Instrumentação. Prof: Herman Lima Jr

Descrição e Projeto de Circuitos Utilizando VHDL

Algumas questões de prova recentes com seus gabaritos

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Latches e Flip-Flops. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Circuitos sequenciais Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture

Introdução à Linguagem VHDL

Divisão de Engenharia Eletrônica Laboratório de ELE-20

Aula 2 Semântica de VHDL

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Gustavo G. Parma. Objetivos: O aluno deverá ser capaz de compreender os conceitos básicos de VHDL.

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução às máquinas de estado finitas

LABORG. Parte 5 Projeto de um circuito digital de média complexidade Parte 2. Fernando Gehm Moraes Matheus Trevisan

CMP238 Projeto e Teste de Sistemas VLSI

Disciplina de SSC Elementos de Lógica Digital II (Prática)

ELT601 Eletrônica Digital II

ELT601 Eletrônica Digital II Graduação em Engenharia Eletrônica

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

2. FLIP FLOP tipo D síncrono borda de descida e com entradas assíncronas preset e clear. PRE Q n F/F CLR

CIRCUITOS SEQUENCIAIS (Unidade 5)

Lógica Reconfigurável

Aula 17. Máquina de Estados Parte 1. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Revisão: Projeto de Processadores em VHDL

2ª Lista de Exercícios

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Máquina de Estados. Transições Estados Em Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg.

Introdução à Linguagem VHDL

1. Objetivos: Familiarizar o aluno com o uso do ambiente de desenvolvimento da Linguagem Verilog. Familiarizar o aluno com a linguagem Verilog.

TRABALHO FINAL FSD 2018/2: Cronômetro de Xadrez

AMBIENTE DIDÁTICO PARA O ENSINO DE MÁQUINAS DE ESTADOS FINITOS

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Prototipação em PLDs

DISPOSITIVOS LÓGICOS PROGRAMÁVEIS - DLP. 10/03/2017 Prof. Alexandre - ELP1DLP1 / DEE

Circuitos Seqüenciais

Centro de Educação Profissional da Universidade Estadual de Campinas

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Circuitos Seqüenciais

1.a Questão : (Valor 1,5) O sistema seqüencial é descrito por uma equação de estados:

Circuitos Combinacionais Básicos

Transcrição:

LABORG VHDL Máquina de estados finitos

Sumário Introdução TRABALHO A FAZER A ENTREGAR 2

Na aula anterior vimos: Introdução VHDL pode ser vista não como uma, mas como várias linguagens modelagem/simulação/síntese e dentro de process/fora de process Processos paralelos são naturais em VHDL dentro e fora do comando process Process usado para hardware combinacional ou seqüencial, ou mistura de ambos Variáveis sinais sinais são fios, variáveis podem ou não ser implementadas com fios; sinais têm atribuição retardada até a próxima suspensão do processo, variáveis têm atribuição imediata Expressões com sinais usam o valor do sinal antes da suspensão do processo (valor antigo, se ele foi mudado em comando anterior) Exercícios iniciais de interpretação de comandos process 3

Elementos do Modelo Estado Máquina de estados Transição» Relógio Reset Determinismo Modelo determinístico Modelo não determinístico Tipos de Máquina Mealy versus Moore reset Representação de Máquina de Estados Finito Um processo com um único sinal representando o estado Dois processos, um representando a operação combinacional e outro representando o elemento de memorização para troca de estados E0 A A E1 4

Máquina de Moore Entrada Saída Circuito Combinacional Memória (FFs) Circuito Combinacional Saída muda somente na transição do relógio 5

entity Moore is port ( ck: in std_logic; entrada: in std_logic; saida: out std_logic ); end Moore; Máquina de estados architecture A_Moore of Moore is type STATE_TYPE is (S0, S1, S2, S3); signal estado: STATE_TYPE; begin process(ck, reset) begin if reset = '1' then estado <= S0; elsif ck'event and ck = '1' then end process; end A_Moore; << Máquina de estados >> 6

Máquina de estados case estado is when S0 => saida <= '0'; if entrada = '1' then estado <= S2; when S1 => saida <= '1'; if entrada = '0' then estado <= S0; else estado <= S2; when S2 => saida <= '1'; if entrada = '1' then estado <= S3; when S3 => saida <= '0'; if entrada = '1' then estado <= S1; end case; 7

Máquina de Mealy Saída muda em função da entrada e do estado corrente Entrada Saída Circuito Combinacional Memória (FFs) Circuito Combinacional 8

entity Mealy is port ( ck: in std_logic; entrada: in std_logic; saida: out std_logic ); end Mealy; Máquina de estados architecture A_Mealy of Mealy is type STATE_TYPE is (S0, S1, S2, S3); signal estado: STATE_TYPE; begin <PROCESSO DE CONTROLE DE ESTADO> <PROCESSO DE CONTROLE DE SAÍDA> end A_Mealy; 9

Máquina de estados Processo de controle de estado process(ck, reset) begin if reset = '1' then estado <= S0; elsif ck'event and ck = '1' then end process; << Máquina de estados >> case estado is when S0 => if entrada = '1' then estado <= S2; when S1 => if entrada = '0' then estado <= S0; else estado <= S2; when S2 => if entrada = '1' then estado <= S3; Processo de controle da saída when S3 => if entrada = '1' then estado <= S1; end case; Saída <= '1 when entrada = '1 and (estado = S1 or estado = S3) else 0 ; 10

Sumário Introdução TRABALHO A FAZER A ENTREGAR 11

TRABALHO A FAZER 1. Implemente o hardware para cronômetro de basquete 2. Especificação do cronômetro: Jogos de basquete atuais são compostos de 4 tempos de 15 minutos cada. Assim, o cronômetro deve contar até 15 minutos e saber em que tempo o jogo se encontra O cronômetro deve poder ser parado e reiniciado a qualquer instante, e deve poder ser reinicializado para o instante 00 minutos, 00 segundos e 00 centésimos do tempo 1 Como intuído no item anterior são 4 as saídas do cronômetro: minutos, segundos, centésimos e quarto Em situações excepcionais, tais como erros de arbitragem, o cronômetro deve poder ser inicializado para um instante qualquer de um tempo, com precisão máxima a nível de minutos e segundos inteiros. A seguir apresenta-se um diagrama da interface externa do cronômetro 12

TRABALHO A FAZER Cristal de 50MHz clock reset Novo_valor C_Minutos C_Segundos Quarto Minutos Val_Display Anodo Para_continua Novo_quarto Atenção: Todas as ações do sistema devem ser síncronas com o sinal de relógio!!! 13

Uma possível FSM de controle Não está no desenho, mas todos os estados tem uma condição default, que é permanecer no mesmo estado 14

Sumário Introdução TRABALHO A FAZER A ENTREGAR 15

A ENTREGAR T5 O projeto desta aula devidamente simulado, contendo: 1. O cronômetro: fonte VHDL 2. Relatório (PDF) contendo: Relatório descrevendo a implementação Estados implementados + Diagrama de blocos Testbench Formas de onda mostrando a funcionalidade 16