Evolução do circuito do lab 3

Tamanho: px
Começar a partir da página:

Download "Evolução do circuito do lab 3"

Transcrição

1 clock Evolução do circuito do lab 3 8 dado externo 2 Unidade de Controlo 3 A CE 8 A B FLAGS 4 R FLAGS 8 CE R3 R2 R1 CE CE CE 4 95 Quais as alterações ao circuito do lab 3? Tal como A, R1, R2 e R3 são registos R1, R2 e R3 só podem ser escritos com o valor que está em A O operando B da ALU pode ser um dado externo ou os valores de R1, R2 ou R3, conforme as entradas de selecção do multiplexer Todos os registos têm uma entrada clock enable (CE) Para que um registo seja escrito, o seu sinal CE tem que estar activo Por exemplo, para realizar, o registo A não deve ser alterado É acrescentada uma unidade de controlo É uma máquina de estados (usa a transição negativa do clock) Define as sequências de valores a aplicar nos sinais de controlo para realizar operações complexas em vários ciclos de relógio 96 MIEEC - FEUP/DEEC 1

2 Para que servem os registos R1, R2 e R3? Como calcular com o circuito do lab3 a expressão? (A + X)*4 (A - Y) (A representa o valor no registo A; X e Y são dados introduzidos no operando B da ALU) Como cada operação que a ALU faz estraga o valor de A seria preciso tomar nota do valor inicial de A......e guardar um resultado intermédio: (A-Y) ou (A+X)*4 conclusão: são necessários mais registos para resultados intermédios Os 3 novos registos permitem guardar até 3 valores Neste novo circuito podem ser usados com entradas da ALU e podem ser escritos com os resultados intermédios de um cálculo 97 Como calcular (A + X)*4 (A - Y)? A sequência de operações pode ser: Guardar o valor inicial de A num dos registos Calcular o valor de A Y (note que o resultado fica sempre em A) Guardar o valor intermédio de A noutro registo Recuperar o valor inicial de A Calcular (A + X)*4 Calcular a diferença entre A e o valor intermédio de A lido de um registo Como realizar esta sequência de operações? É necessário definir os sinais de controlo que em cada ciclo de relógio realizam cada uma das operações elementares 98 MIEEC - FEUP/DEEC 2

3 Sequência de sinais de controlo ( para calcular (A + X)*4 (A - Y) ) Operação A A - Y Valor de Y 1 R2 A 0 A A + X 0 A A << 1 1 A A << 1 1 A A R2 1 Nota: indiferente significa que o valor não intervém na operação 99 Conceito de instrução É possível definir outras sequências de controlo (instruções) Cada sequência realiza uma operação entre dados de 8 bits É impraticável construir todas as sequências possíveis! Vamos construir dois tipos de instruções Instruções aritméticas e lógicas Um dos operandos é sempre um registo O outro operando pode ser um registo ou um dado externo O resultado vai sempre para um registo (e fica também em A) O registo A chama-se geralmente acumulador (acumula o resultado) Instruções para transferências de dados Movem dados entre os registos R1, R2 e R3 e o acumulador (registo A) Carregar o registo A com um dado externo Mover um dado de Ri para Rj obriga a modificar o valor de A Carregar um registo Ri com um dado externo altera o valor de A 100 MIEEC - FEUP/DEEC 3

4 (os 2 operandos são registos Ri) + R2 0 A A + R2 0 R3 R1 ^ R2 0 A A ^ R (um operando é um registo Ri e o outro é um dado externo) - X 0 A A - X 1 R3 R1 X 0 A A X MIEEC - FEUP/DEEC 4

5 A A R3 (um operando é o registo A) A A R A A ^ X A A ^ X 1 A A * 2 A A << A - A (só com um operando) A A ^ FFh FFh 1 A A R3 -A A A ^ FFh FFh 1 A A MIEEC - FEUP/DEEC 5

6 A X Exemplos de instruções para transferência de dados A X 0 A R2 A R R1 R2 Exemplos de instruções para transferência de dados A R2 0 R3 X A X MIEEC - FEUP/DEEC 6

7 Conceito de programa Um programa é uma sequência de instruções Para executar uma tarefa determinada Cada instrução necessita de 1 ou mais ciclos de relógio Em cada ciclo realiza-se uma micro-instrução Programa para calcular: R3 = (A+X)*4 (A-Y) (1 clock) A A Y (1 clocks) R2 -A (3 clocks) + X (2 clocks) A A * 2 (1 clock) A A * 2 (1 clock) + R2 (2 clocks) 107 MIEEC - FEUP/DEEC 7

Introdução da memória de programa

Introdução da memória de programa Introdução da memória de programa clock dados load_ir instrução IR CE 1xx 001 0 emória RO endereco Contém instruções (2 bytes) Q enable Contador de Programa (PC) inc_pc Unidade de Controlo OPR B FLGS R

Leia mais

Modelação de circuitos síncronos

Modelação de circuitos síncronos Modelação de circuitos síncronos Como se constrói um flip-flop tipo D? module ffd(, D, Q); input ; // sinal de relogio, activo na transição 0->1 input D; // entrada D output Q; // saída Q reg Q; // Q tem

Leia mais

COMPUTADOR. Adão de Melo Neto

COMPUTADOR. Adão de Melo Neto COMPUTADOR Adão de Melo Neto 1 Modelo Barramento de Sistema É uma evolução do Modelo de Von Newman Processador = UCP = unidade de controle + unidade lógica aritmética Memória; Barramento (novo elemento):

Leia mais

RELOGIO MEMÓRIA USO DA NUMERAÇÃO BINÁRIA. 02. Explique a função do barramento de endereços no Modelo Barramento de Sistemas.

RELOGIO MEMÓRIA USO DA NUMERAÇÃO BINÁRIA. 02. Explique a função do barramento de endereços no Modelo Barramento de Sistemas. 01. Cite três conceitos introduzidos por Von Newman RELOGIO MEMÓRIA USO DA NUMERAÇÃO BINÁRIA 02. Explique a função do barramento de endereços no Modelo Barramento de Sistemas. BARRAMENTO DE ENDEREÇOS:

Leia mais

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas:

Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Todo processador é constituído de circuitos capazes de realizar algumas operações primitivas: Somar e subtrair Mover um dado de um local de armazenamento para outro Transferir um dado para um dispositivo

Leia mais

EEC2104 Microprocessadores

EEC2104 Microprocessadores EEC2104 Microprocessadores Edição 2005/2006 Arquitectura de um microprocessador básico (Qual o hardware necessário para executar instruções e poder chamar subrotinas?) Uso da memória Guardar instruções

Leia mais

COMPUTADOR. Adão de Melo Neto

COMPUTADOR. Adão de Melo Neto COMPUTADOR Adão de Melo Neto 1 PROGRAMA É um conjunto de instruções LINGUAGEM BINÁRIA INTRODUÇÃO Os caracteres inteligíveis são apenas zero(0) e um (1). É uma linguagem de comunicação dos computadores.

Leia mais

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário

Microprocessadores MICROPROCESSADORES. Unidade de Processamento. Sumário MICROPROCESSADORES Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento (CPU)

Leia mais

MICROPROCESSADORES E MICROCONTROLADORES. PROVA 1 - Solução da Versão 1

MICROPROCESSADORES E MICROCONTROLADORES. PROVA 1 - Solução da Versão 1 MICROPROCESSDORES E MICROCONTROLDORES PROV 1 - Solução da Versão 1 (o final são apresentadas as soluções da questão 4 das versões 2 e 3) 1. Na comparação entre as arquiteturas CISC e RISC, faça comentários

Leia mais

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira

ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES. Prof. Juliana Santiago Teixeira ORGANIZAÇÃO DE COMPUTADORES CAPÍTULO 6: PROCESSADORES Prof. Juliana Santiago Teixeira julianasteixeira@hotmail.com INTRODUÇÃO INTRODUÇÃO O processador é o componente vital do sistema de computação, responsável

Leia mais

Processador. Processador

Processador. Processador Departamento de Ciência da Computação - UFF Processador Processador Prof. Prof.Marcos MarcosGuerine Guerine mguerine@ic.uff.br mguerine@ic.uff.br 1 Processador Organização básica de um computador: 2 Processador

Leia mais

UCP: Construindo um Caminho de Dados (Parte III)

UCP: Construindo um Caminho de Dados (Parte III) UCP: Construindo um Caminho de Dados (Parte III) Cristina Boeres Instituto de Computação (UFF) Fundamentos de Arquiteturas de Computadores Material baseado nos slides do Fernanda Passos Cristina Boeres

Leia mais

Introdução à Computação: Arquitetura von Neumann

Introdução à Computação: Arquitetura von Neumann Introdução à Computação: Arquitetura von Neumann Beatriz F. M. Souza (bfmartins@inf.ufes.br) http://inf.ufes.br/~bfmartins/ Computer Science Department Federal University of Espírito Santo (Ufes), Vitória,

Leia mais

Organização de Sistemas Computacionais Processadores: Organização da CPU

Organização de Sistemas Computacionais Processadores: Organização da CPU Universidade Paulista UNIP Curso: Ciências da Computação Turma: CCP30 Turno: Noturno Disciplina: Arquitetura de Computadores Professor: Ricardo Loiola Alunos: Thiago Gomes dos Santos Matrícula: C63873-0

Leia mais

CPU. CPU Unidade Central de Processamento. Função: leitura, escrita e processamento de dados

CPU. CPU Unidade Central de Processamento. Função: leitura, escrita e processamento de dados CPU CPU Unidade Central de Processamento Função: leitura, escrita e processamento de dados Constituída por: dispositivos que gerem a entrada (leitura) e saída (escrita) de dados; registos (memórias auxiliares)

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Controlo Conjunto de Instruções Unidade Central de Processamento

Leia mais

FUNCIONAMENTO DO COMPUTADOR. Adão de Melo Neto

FUNCIONAMENTO DO COMPUTADOR. Adão de Melo Neto FUNCIONAMENTO DO COMPUTADOR Adão de Melo Neto 1 ELEMENTOS DE UM COMPUTADOR PROCESSADOR (UCP) unidade de controle (UC) + unidade lógica aritmética (ULA) + registradores MEMÓRIA; BARRAMENTOS barramento de

Leia mais

Capítulo 3 Organização Interna de um Computador

Capítulo 3 Organização Interna de um Computador Capítulo 3 Organização Interna de um Computador 3.1 UNIDADE CENTRAL DE PROCESSAMENTO A Unidade Central de Processamento - UCP (em inglês, Central Processing Unity - CPU) é a responsável pelo processamento

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Circuitos sequenciais

Circuitos sequenciais Circuitos sequenciais Saídas dependem da sequência das entradas não basta uma tabela de verdade! Exemplo: controlo do nível de água num tanque: entrada de água electro-válvula ABRE sistema digital de controlo

Leia mais

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios

Microprocessadores I ELE Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Microprocessadores I ELE 1078 Aula 7 Conjunto de Instruções do Microprocessador 8085 Desvios Grupos de Instruções do 8085 As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

Instruções. Maicon A. Sartin

Instruções. Maicon A. Sartin Instruções Maicon A. Sartin SUMÁRIO Introdução Instruções Formatos de instruções Conjuntos de instruções Execução de instruções Introdução a Linguagem de Montagem Introdução a Linguagem de Montagem Níveis

Leia mais

Arquitetura de Microprocessadores

Arquitetura de Microprocessadores Arquitetura de Computadores UNIDADE 4 Arquitetura de 05-02-2019 Sumário Arquitetura de um microprocessador; Acesso à memória; A arquitetura de von Neumann apenas mostra a conceção ideológica do que deveria

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante a execução de

Leia mais

SSC510 Arquitetura de Computadores 1ª AULA

SSC510 Arquitetura de Computadores 1ª AULA SSC510 Arquitetura de Computadores 1ª AULA REVISÃO DE ORGANIZAÇÃO DE COMPUTADORES Arquitetura X Organização Arquitetura - Atributos de um Sistema Computacional como visto pelo programador, isto é a estrutura

Leia mais

Unidade Central de Processamento UCP (CPU)

Unidade Central de Processamento UCP (CPU) Unidade Central de Processamento UCP (CPU)! Arquitetura Convencional (Von Neumann) UCP BARRAMENTO MEMÓRIA PRINCIPAL ENTRADA E SAÍDA ! Visão geral da CPU UC - UNIDADE DE CONTROLE REGISTRADORES A B C D ALU

Leia mais

Lic. Engenharia de Sistemas e Informática

Lic. Engenharia de Sistemas e Informática Conceitos de Sistemas Informáticos Lic. Engenharia de Sistemas e Informática 1º ano 2004/05 Luís Paulo Santos Módulo Arquitectura de Computadores Execução de Programas LPSantos, CSI: Arquitectura de Computadores,

Leia mais

Fundamentos dos circuitos sequenciais

Fundamentos dos circuitos sequenciais Fundamentos dos circuitos sequenciais ETV-EI-istemas Digitais-Fundamentos dos Circuitos equenciais 1/8 A grande maioria das aplicações dos sistemas digitais requer a capacidade de memória, isto é, a capacidade

Leia mais

2. A influência do tamanho da palavra

2. A influência do tamanho da palavra PROCESSAMENTO 1. Introdução O processador é o componente vital do sistema de computação, responsável pela realização das operações de processamento (os cálculos matemáticos etc.) e de controle, durante

Leia mais

Aula 16: UCP: Conceitos Básicos e Componentes

Aula 16: UCP: Conceitos Básicos e Componentes Aula 16: UCP: Conceitos Básicos e Componentes Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) UCP: Conceitos Básicos e Componentes FAC 1 / 34

Leia mais

Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético

Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético Microprocessadores I Aula 4 Conjunto de Instruções do Microprocessador 8085 Grupo Aritmético 4.1 - Grupos de Instruções As instruções no 8085 são distribuídas em 5 grupos: 1. Grupo de transferência da

Leia mais

Processador: Conceitos Básicos e Componentes

Processador: Conceitos Básicos e Componentes Processador: Conceitos Básicos e Componentes Cristina Boeres Instituto de Computação (UFF) Fundamentos de Arquiteturas de Computadores Material baseado nos slides de Fernanda Passos Cristina Boeres (IC/UFF)

Leia mais

Aula 19: UCP: Construindo um Caminho de Dados (Parte III)

Aula 19: UCP: Construindo um Caminho de Dados (Parte III) Aula 19: UCP: Construindo um Caminho de Dados (Parte III) Diego Passos Universidade Federal Fluminense Fundamentos de Arquiteturas de Computadores Diego Passos (UFF) UCP: Caminho de Dados (III) FAC 1 /

Leia mais

Unidade de Controle. Sinais e temporização da busca de instrução. Prof. Eduardo Appel

Unidade de Controle. Sinais e temporização da busca de instrução. Prof. Eduardo Appel Unidade de Controle Prof Eduardo Appel appel@upftchebr Uma CPU de um microprocessador pode interpretar o conteúdo de uma palavra de memória como sendo o código de instrução Mas pergunta-se: Qual o número

Leia mais

Arquitetura e Organização de Computadores

Arquitetura e Organização de Computadores Arquitetura e Organização de Computadores Unidade Central de Processamento (CPU) Givanaldo Rocha de Souza http://docente.ifrn.edu.br/givanaldorocha givanaldo.rocha@ifrn.edu.br Baseado nos slides do capítulo

Leia mais

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v

Circuitos Sequenciais Escola Naval - Dep. Armas e Electrónica v CIRCUITOS SEQUENCIAIS ESTRUTURA GERAL Varáveis de entrada Variáveis de saída Variáveis de estado Circ. combinatório Memória Circuito Combinatório Memória Actual Seguinte CIRCUITOS SEQUENCIAIS Exemplo :

Leia mais

Aula 14 Funcionamento de Processadores (Visão específica)

Aula 14 Funcionamento de Processadores (Visão específica) Aula 14 Funcionamento de Processadores (Visão específica) Com base nas aulas de Prof. José Delgado (autorizado) Anderson L. S. Moreira anderson.moreira@recife.ifpe.edu.br http://dase.ifpe.edu.br/~alsm

Leia mais

UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO. Adão de Melo Neto

UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO. Adão de Melo Neto UNIDADE DE CONTROLE E CONTROLE MICROPROGRAMADO Adão de Melo Neto 1 INTRODUÇÃO O objetivo é mostrar como a unidade de controle controla a execução de cada instrução em ASSEMBLY. Atividades da Unidade de

Leia mais

Disciplina: Arquitetura de Computadores

Disciplina: Arquitetura de Computadores Disciplina: Arquitetura de Computadores Estrutura e Funcionamento da CPU Prof a. Carla Katarina de Monteiro Marques UERN Introdução Responsável por: Processamento e execução de programas armazenados na

Leia mais

Unidade de Controle. UC - Introdução

Unidade de Controle. UC - Introdução Unidade de Controle Prof. Alexandre Beletti (Cap. 3 Weber, Cap.8 Monteiro, Cap. 10,11 Stallings) UC - Introdução Para gerenciar o fluxo interno de dados e o instante em que ocorrem as transferências entre

Leia mais

Microprocessadores I. Aula 6 Arquitetura do Microprocessador Pinagem e Diagrama de Temporização

Microprocessadores I. Aula 6 Arquitetura do Microprocessador Pinagem e Diagrama de Temporização Microprocessadores I Aula 6 Arquitetura do Microprocessador 8085 - Pinagem e Diagrama de Temporização 1 O 8085 contém em seu CI circuitos para executar operações de cálculos e comunicação com periféricos.

Leia mais

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores Fundamentos (9, 10.1 a 10.3) José Monteiro Licenciatura em Engenharia Informática e de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Leia mais

Projecto de Sistemas Digitais

Projecto de Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Duração máxima: 60 minutos, sem consulta. Projecto de Sistemas Digitais 4º ano - 1º semestre 2º miniteste 17 de Dezembro 2007 NOME: [5 valores]

Leia mais

Solução Lista de Exercícios Processadores

Solução Lista de Exercícios Processadores Solução Lista de Exercícios Processadores Questão 1 A ULA é o dispositivo da CPU que executa operações tais como : Adição Subtração Multiplicação Divisão Incremento Decremento Operação lógica AND Operação

Leia mais

Circuito de dados e circuito de controlo

Circuito de dados e circuito de controlo Circuito de dados e circuito de controlo Considerações iniciais Exemplo 2 1 As metodologias estudadas até agora permitem projectar circuitos digitais combinatórios e digitais de pequena complexidade. Estas

Leia mais

Microprocessadores CPU. Unidade de Controle. Prof. Henrique

Microprocessadores CPU. Unidade de Controle. Prof. Henrique Microprocessadores CPU Unidade de Controle Prof. Henrique Roteiro Registradores; Unidade de Controle Níveis de Complexidade Introdução Um sistema microprocessado conta com diversos dispositivos para um

Leia mais

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle

William Stallings Organização de computadores digitais. Capítulo 14 Operação da Unidade de Controle William Stallings Organização de computadores digitais Capítulo 14 Operação da Unidade de Controle Microoperações o A execução de um programa em um computador se faz através: o do ciclo de Busca e Execução.

Leia mais

Histórico de desenvolvimento de computadores Prof. Luís Caldas Aula 02 Processador de uso geral

Histórico de desenvolvimento de computadores Prof. Luís Caldas Aula 02 Processador de uso geral Processador de uso geral 1. Introdução: Um sistema digital completo é constituído de uma unidade controle e o bloco operacional. Na figura a seguir alguns elementos externos necessários para completar

Leia mais

ESTRUTURA E FUNCIONAMENTO DO COMPUTADOR

ESTRUTURA E FUNCIONAMENTO DO COMPUTADOR ESTRUTURA E FUNCIONAMENTO DO COMPUTADOR Prof. Filippo Valiante Filho http://prof.valiante.info Estrutura do Computador Computador CPU (Central Processing Unit) Sistema de Armazenamento (Memórias) Sistema

Leia mais

UNIDADE DE CONTROLE (IMPLEMENTAÇÃO POR HARDWARE) Adão de Melo Neto

UNIDADE DE CONTROLE (IMPLEMENTAÇÃO POR HARDWARE) Adão de Melo Neto UNIDADE DE CONTROLE (IMPLEMENTAÇÃO POR HARDWARE) Adão de Melo Neto 1 UNIDADE DE CONTROLE Técnicas de implementação da Unidade de Controle Implementação Microprograma Implementação por Hardware 3 UNIDADE

Leia mais

Organização de Unidades de Processamento

Organização de Unidades de Processamento Organização de Unidades de Processamento João Canas Ferreira Março de 2004 Contém figuras de: Computer Organization & Design, D. A Patterson e J. L. Hennessy, 2 a ed. (cap. 5) c JCF, 2004 ASPD (FEUP/LEEC)

Leia mais

Escola Secundária de Emídio Navarro

Escola Secundária de Emídio Navarro Escola Secundária de Emídio Navarro Curso Secundário de Carácter Geral (Agrupamento 4) Introdução às Tecnologias de Informação Bloco I 11.º Ano Ficha de avaliação sumativa n.º 1 Duração: 50 min. + 50 min.

Leia mais

UCP 8051 (parte 02) Professor Adão de Melo Neto

UCP 8051 (parte 02) Professor Adão de Melo Neto UCP 85 (parte 2) Professor Adão de Melo Neto Barramento de endereços UCP 85 É unidirecional (6 BITS), porque a informação flui apenas em uma direção, da CPU para a memória ou para os elementos de E/S.

Leia mais

Avaliação de desempenho

Avaliação de desempenho Universidade Federal do Rio Grande do Sul Instituto de Informática Organização de Computadores Aula 9 Avaliação de desempenho INF01113 Organização de Computadores 9-1 Avaliação de desempenho 1. Introdução

Leia mais

Síntese do datapath. Sistemas Digitais síncronos

Síntese do datapath. Sistemas Digitais síncronos Síntese do datapath S.D. - e prossamento de informação unidade de prossamento (datapath) prossamento e comunicação de dados registos, ALUs, outros operadores dedicados (FUs), barramentos activa os elementos

Leia mais

Síntese do datapath. S.D. - controlo e processamento de informação

Síntese do datapath. S.D. - controlo e processamento de informação 146 Síntese do datapath S.D. - controlo e processamento de informação unidade de processamento (datapath) processamento e comunicação de dados registos, ALUs, outros operadores dedicados (FUs), barramentos

Leia mais

Memória de dados interna

Memória de dados interna Memória de dados interna A MD interna na família 51 proporciona 128 bytes ou 256 bytes de MD interna (conforme a versão do componente) Os endereços 0 a 7FH incluem os bancos de registos R0 a R7, posições

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16

Introdução aos Computadores Introdução à Ciência de Computadores Outubro 2011 1 / 16 Introdução aos Computadores Introdução à Ciência de Computadores Nelma Moreira Departamento de Ciência de Computadores da FCUP Outubro 2011 Introdução aos Computadores Introdução à Ciência de Computadores

Leia mais

CPU. Funções: Componentes: Processamento; Controle. UC (Unidade de Controle); Registradores; ALU s, FPU s etc. Arquitetura de Computadores 3

CPU. Funções: Componentes: Processamento; Controle. UC (Unidade de Controle); Registradores; ALU s, FPU s etc. Arquitetura de Computadores 3 CPU CPU Funções: Processamento; Controle Componentes: UC (Unidade de Controle); Registradores; ALU s, FPU s etc. Arquitetura de Computadores 3 Processador A função de um computador é executar tarefas

Leia mais

pet Arquitetura de Computadores computação UFPE

pet Arquitetura de Computadores computação UFPE Arquitetura de Computadores 2008.2 Roteiro 1. Definição e tipos de arquitetura 2. Os cinco componentes clássicos de um computador 3. Como eles interagem de modo coerente 4. O que o futuro nos reserva 5.

Leia mais

O COMPUTADOR POR DENTRO

O COMPUTADOR POR DENTRO 1 2 SISTEMAS DE INFORMAÇÃO O COMPUTADOR POR DENTRO Ensino Recorrente 3 4 O CPU constitui o orgão central do computador. As suas características determinam, em grande medida, a performance global do sistema.

Leia mais

Infra-estrutura de Hardware

Infra-estrutura de Hardware CPU: Estrutura e Funcionalidade Roteiro da Aula Ciclo de Instrução Projeto de uma CPU simples: conceitos Componentes básicos Leitura da instrução Operação entre registradores Acesso à memória Implementação

Leia mais

SISTEMAS DIGITAIS II Enunciado do Projecto

SISTEMAS DIGITAIS II Enunciado do Projecto SISTEMAS DIGITAIS II Enunciado do Projecto Prof. José Sousa 2005/2006 Sumário Enunciado do Projecto: Processador de 1 bit...2 Regras e Calendário do Projecto...9 Estrutura do Relatório do Projecto...11

Leia mais

Universidade Federal do Rio de Janeiro Bacharelado em Ciência da Computação. Arquitetura de Computadores I. Organização Básica do Computador

Universidade Federal do Rio de Janeiro Bacharelado em Ciência da Computação. Arquitetura de Computadores I. Organização Básica do Computador Universidade Federal do Rio de Janeiro Bacharelado em Ciência da Computação Arquitetura de Computadores I Organização Básica do Computador Gabriel P. Silva Ementa Unidade 2: Organização Lógica e Funcional

Leia mais

Acetatos de apoio às aulas teóricas

Acetatos de apoio às aulas teóricas Microprocessadores e Aplicações Acetatos de apoio às aulas teóricas Ana Cristina Lopes Dep. Engenharia Electrotécnica http://orion.ipt.pt anacris@ipt.pt Ana Cristina Lopes, 24 de Outubro de 2004 Microprocessadores

Leia mais

a) Indique o número mínimo de bits necessários à codificação da latitude e longitude.

a) Indique o número mínimo de bits necessários à codificação da latitude e longitude. Sistemas Digitais, 1ª chamada 7/Jan/2002 (Prova ) Página 1 NOME: ORREÇÃO TURM Departamento de Engenharia Electrotécnica e de omputadores Sistemas Digitais (2001/2002) 1ª chamada 7/Janeiro/2002 Duração:

Leia mais

Escola Secundária de Emídio Navarro

Escola Secundária de Emídio Navarro Escola Secundária de Emídio Navarro Curso Secundário de Carácter Geral (Agrupamento 4) Introdução às Tecnologias de Informação Bloco I 11.º Ano Ficha de avaliação sumativa n.º 1 Duração: 50 min. + 50 min.

Leia mais

PORTAS E OPERAÇÕES LÓGICAS

PORTAS E OPERAÇÕES LÓGICAS 1.Portas Lógicas 1.1 - PORTAS E OPERAÇÕES LÓGICAS Uma porta logica ( gate ) é um circuito eletrônico, portanto uma peça de hardware, que se constitui no elemento básico e mais elementar de um sistema de

Leia mais

COMPUTADOR 2. Professor Adão de Melo Neto

COMPUTADOR 2. Professor Adão de Melo Neto COMPUTADOR 2 Professor Adão de Melo Neto Modelo Barramento de Sistema É uma evolução do Modelo de Von Newman. Os dispositivos (processador, memória e dispositivos de E/S) são interligados por barramentos.

Leia mais

Escola Secundária de Emídio Navarro

Escola Secundária de Emídio Navarro Escola Secundária de Emídio Navarro Curso Secundário de Carácter Geral (Agrupamento 4) Introdução às Tecnologias de Informação Bloco I 11.º Ano Ficha de avaliação sumativa n.º 1 Duração: 50 min. + 50 min.

Leia mais

Arquiteturas de Computadores. Princípios e exemplos de conjuntos de instruções

Arquiteturas de Computadores. Princípios e exemplos de conjuntos de instruções Arquiteturas de Computadores Princípios e exemplos de conjuntos de instruções Características das aplicações Computação de desktop Ênfase em desempenho de programas com tipos de dados inteiros e ponto-flutuante

Leia mais

Síntese do datapath. Sistemas Digitais síncronos

Síntese do datapath. Sistemas Digitais síncronos Síntese do datapath S.D. - e prossamento de informação unidade de prossamento (datapath) prossamento e comunicação de dados registos, ALUs, outros operadores dedicados (FUs), barramentos activa os elementos

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2014, 11:30 SISTEMS DIGITIS EXME ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla perguntas, distribuídas por 6 páginas, e tem a duração de 2h3m. ii. Existem 4 variantes distintas da prova:,

Leia mais

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 12 Estrutura e função do processador

William Stallings Arquitetura e Organização de Computadores 8 a Edição. Capítulo 12 Estrutura e função do processador William Stallings Arquitetura e Organização de Computadores 8 a Edição Capítulo 12 Estrutura e função do processador slide 1 Estrutura da CPU CPU precisa: Buscar instruções. Interpretar instruções. Obter

Leia mais

a) Obtenha a tabela verdade das operações com 01 bit

a) Obtenha a tabela verdade das operações com 01 bit 01. Deduza a obtenção de um circuito somador completo (FULL ADDER) e 01 bit seguindo os passos abaixo: a) Obtenha a tabela verdade das operações com 01 bit SOLUÇÃO NOS SLIDE b) Obtenha a expressão não

Leia mais

Microcontrolador Assembly UTFPR / DAELN Microcontroladores 1 Prof. Gabriel Kovalhuk

Microcontrolador Assembly UTFPR / DAELN Microcontroladores 1 Prof. Gabriel Kovalhuk Assembly 8051 Num sistema microprocessado, geralmente, não existe um sistema operacional; O programa desenvolvido pelo programador deve cuidar tanto da lógica do programa, bem como da configuração e acesso

Leia mais

Conjunto de fios paralelos que permitem a transmissão de dados, endereços e sinais de controle entre a UCP, memória e dispositivos de entrada e

Conjunto de fios paralelos que permitem a transmissão de dados, endereços e sinais de controle entre a UCP, memória e dispositivos de entrada e Conjunto de fios paralelos que permitem a transmissão de dados, endereços e sinais de controle entre a UCP, memória e dispositivos de entrada e saída. Conjunto de fios paralelos que permitem a transmissão

Leia mais

Parte Operativa x Controle Aula 12. Prof. Jadir Eduardo Souza Lucas Universidade Federal do Espírito Santo Departamento de Informática

Parte Operativa x Controle Aula 12. Prof. Jadir Eduardo Souza Lucas Universidade Federal do Espírito Santo Departamento de Informática x Controle Aula 12 Microarquitetura Parte Operativa (ou Caminho de Dados) Constituída de todos os componentes responsáveis pela execução das operações elementares sobre os dados (transformações nos dados)

Leia mais

Arquitetura de Computadores Aula 10 - Processadores

Arquitetura de Computadores Aula 10 - Processadores Arquitetura de Computadores Aula 10 - Processadores Prof. Dr. Eng. Fred Sauer http://www.fredsauer.com.br fsauer@gmail.com 1/21 TÓPICOS ORGANIZAÇÃO COMPONENTES BÁSICOS INSTRUÇÃO DE MÁQUINA CICLO DE INSTRUÇÃO

Leia mais

Escola Secundária de Emídio Navarro

Escola Secundária de Emídio Navarro Escola Secundária de Emídio Navarro Curso Secundário de Carácter Geral (Agrupamento 4) Introdução às Tecnologias de Informação Bloco I 11.º Ano Ficha de avaliação sumativa n.º 1 Duração: 50 min. + 50 min.

Leia mais

NOME: TURMA

NOME: TURMA Sistemas igitais, 2ª chamada 25/Jan/200 (Prova) Página epartamento de Engenharia Electrotécnica e de omputadores Sistemas igitais (2000/200) orrecção 2ª chamada 25/Janeiro/200 uração: 2horas, sem consulta.

Leia mais

Microcontroladores. Rafael Silva de Lima https://sites.google.com/site/pensante91/

Microcontroladores. Rafael Silva de Lima https://sites.google.com/site/pensante91/ Microcontroladores Rafael Silva de Lima rafael_silvadelima@yahoo.com.br https://sites.google.com/site/pensante91/ CETTPS Centro de Ensino Técnico e Profissionalizante Curso Técnico em Automação/ Eletrotécnica

Leia mais

Periféricos e Interfaces Ano lectivo 2003/2004 Docente: Ana Paula Costa. Aula Teórica 3

Periféricos e Interfaces Ano lectivo 2003/2004 Docente: Ana Paula Costa. Aula Teórica 3 Aula Teórica 3 Sumário: Descrição das arquitecturas 8086, 80286, 80386, 80486 e família Pentium. Leitura Recomendada: Capítulos 2, 3, 4, 5, 8, 10, 12, 13 e 14 - Hans-Peter Messmer, The Indispensable PC

Leia mais

Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte Lista de Exercícios para a Terceira Unidade.

Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte Lista de Exercícios para a Terceira Unidade. Instituto Federal de Educação, Ciência e Tecnologia do Rio Grande do Norte Lista de Exercícios para a Terceira Unidade Disciplina: Informática Básica Professor: Eberton da Silva Marinho e-mail: ebertonsm@gmail.com

Leia mais

Arquitetura de Computadores Unidade Central de Processamento CPU

Arquitetura de Computadores Unidade Central de Processamento CPU Arquitetura de Computadores Unidade Central de Processamento CPU CPU Funções realizadas pelo processador: Função controle Responsável pela busca, interpretação e controle da execução das instruções, bem

Leia mais

Evolução dos computadores

Evolução dos computadores A máquina de Von Neumann AOC: Arquitetura e Organização de Computadores Evolução dos computadores Caracterizado: Aumento da velocidade dos processadores Diminuição do tamanho dos componentes Aumento da

Leia mais

Introdução aos processos de operação aritmética - Subtração

Introdução aos processos de operação aritmética - Subtração Introdução aos processos de operação aritmética - Subtração Cálculo de conversão de bases para responder às questões pertinentes à execução das especificações nas configurações de sistemas, comunicação

Leia mais

Sistemas Digitais Registos. João Paulo Carvalho

Sistemas Digitais Registos. João Paulo Carvalho Sistemas Digitais Registos João Paulo arvalho Registos Um FF permite memorizar um bit. Um Registo é um circuito que permite memorizar um conjunto de bits. registo permite tratar esse conjunto de bits como

Leia mais

Arquitetura de Computadores. Ciclo de Busca e Execução

Arquitetura de Computadores. Ciclo de Busca e Execução Arquitetura de Computadores Ciclo de Busca e Execução Ciclo de Busca e Execução Início Buscar a próxima instrução Interpretar a instrução Executar a instrução Término Funções realizadas pela UCP Funções

Leia mais

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30

SISTEMAS DIGITAIS MEEC de Janeiro de 2015, 11:30 ntes de iniciar a prova, tenha em atenção o seguinte: i. prova contempla 1 perguntas, distribuídas por 12 páginas, e tem a duração de 2h3m. ii. prova é sem consulta. Sobre a secretária apenas deve encontrar-se

Leia mais

Nível da Microarquitetura

Nível da Microarquitetura Nível da Microarquitetura (Aula 10) Máquina de Vários Níveis Modernas (ISA) Interpretação (microprograma) ou execução direta ou microarquitetura Roberta Lima Gomes - LPRM/DI/UFES Sistemas de Programação

Leia mais

Acetatos de apoio às aulas teóricas

Acetatos de apoio às aulas teóricas Microprocessadores e Aplicações Acetatos de apoio às aulas teóricas Ana Cristina Lopes Dep. Engenharia Electrotécnica http://orion.ipt.pt anacris@ipt.pt Ana Cristina Lopes, 22 de Novembro de 2004 Microprocessadores

Leia mais

Módulo 3 - Estrutura e configuração de Sistemas Operativos monoposto

Módulo 3 - Estrutura e configuração de Sistemas Operativos monoposto Módulo 3 - Estrutura e configuração de Sistemas Operativos monoposto 20-05-2009 - Aula nº 121,122 Funções de um Sistema Operativo Gestão do processador ao nível da sua planificação Gestão da Memória Gestão

Leia mais

LISTA 01 3B ORGANIZAÇÃO DE COMPUTADORES (REALIZADA EM AULA) NOME: NOME:

LISTA 01 3B ORGANIZAÇÃO DE COMPUTADORES (REALIZADA EM AULA) NOME: NOME: LISTA 01 3B ORGANIZAÇÃO DE COMPUTADORES (REALIZADA EM AULA) NOME: NOME: 1. Explique a constituição do computador. Um computador é composto pelo processador, pela memória, dispositivos de entrada e saída.

Leia mais

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.)

Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Arquitectura de Computadores LEEC/MEEC (2006/07 2º Sem.) Nuno Cavaco Gomes Horta Universidade Técnica de Lisboa / Instituto Superior Técnico Sumário Introdução Unidade de Processamento Conjunto de Instruções

Leia mais

Organização e Arquitetura de Computadores INTRODUÇÃO

Organização e Arquitetura de Computadores INTRODUÇÃO Organização e Arquitetura de Computadores INTRODUÇÃO A Arquitetura de Computadores trata do comportamento funcional de um sistema computacional, do ponto de vista do programador (ex. tamanho de um tipo

Leia mais

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom)

INSTITUTO SUPERIOR TÉCNICO. Arquitectura de Computadores (ACom) UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Arquitectura de Computadores (ACom) LEIC-A, MEIC-A Acetatos das Aulas Teóricas Versão 1.0 - Português Aula

Leia mais

Circuitos sequenciais síncronos

Circuitos sequenciais síncronos Circuitos sequenciais síncronos Considerações gerais Modelos de Mealy e de Moore Projecto de circuitos sequenciais síncronos Usando lógica discreta Usando ROMs 2 1 Um contador ou um registo como os que

Leia mais