Laboratório de Eletrônica Digital

Documentos relacionados
Circuito Decodificador BCD para Display de Sete Segmentos

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Prática 2 Implementação de Circuitos Lógicos em FPGA

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Escola Secundária c/3º CEB José Macedo Fragateiro. Curso Profissional de Nível Secundário. Componente Técnica. Disciplina de

ÁLGEBRA BOOLEANA- LÓGICA DIGITAL

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

ELETRÔNICA DIGITAL I

Tutorial do Quartus II

QUARTUS II DESENVOLVIMENTO

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II - versão 9.1

Criando e Simulando Circuitos Digitais no Quartus II

ALTERA Quartus II. Manual

Exercícios de Laboratório 3

Introdução. Display de sete segmentos

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

Q(A, B, C) =A.B.C + A.B.C + A.B.C + A.B.C + A.B.C + A.B.C. m(1, 2, 3, 6) T (A, B, C, D) =A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.D+A.B.C.

Projeto de CI Semidedicados

Plano de Ensino. Leandro Schwarz Endereço eletrônico:

4. Álgebra Booleana e Simplificação Lógica. 4. Álgebra Booleana e Simplificação Lógica 1. Operações e Expressões Booleanas. Objetivos.

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1

Eletrônica Digital Lista de Exercícios

Curso Superior de Sistemas de Telecomunicações Unidade São José. Disciplina: Síntese de Sistemas de Telecomunicações 7º Fase

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

3.a Aula Prática Projeto de Circuitos Sequenciais com FPGA. Projeto e simulação de um gerador de frequência

Disciplina de Laboratório de Elementos de Lógica Digital I SSC-0111

Exercícios de Laboratório 1

Ambiente de Software EDA (Electronic Design Automation)

Sistemas Digitais Ficha Prática Nº 7

Disciplina: Laboratório de Circuitos Digitais

Data: Experiência 01: LEI DE OHM

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

Figura 1 - Somador para dois números de 4 bits com extensores lógicos (EL) e Aritméticos(EA).

LAB03 Circuitos digitais combinacionais: alarme do museu, multiplexers, e descodificador de 4 bits para visor de 7 segmentos i

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores i

Guia de projeto em esquemático utilizando o Software QUARTUS II da ALTERA Profa. Luiza Maria Romeiro Codá

Programação de CPLDs no ambiente ISE 4.2i da Xilinx

Disciplina: Laboratório de Circuitos Digitais

7. Funções de Lógica Combinacional. 7. Funções de Lógica Combinacional 1. Somadores Básicos. Objetivos. Objetivos. Circuitos Digitais 03/11/2014

CARGA E DESCARGA DE CAPACITORES

ELETRÔNICA DIGITAL 1

MULTIPLEXADOR E DEMULTIPLEXADOR

Laboratório de Circuitos Digitais 1

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

III. Representações das portas lógicas (recordação): Figura 1: Símbolos padronizados e alternativos para várias portas lógicas e para o inversor 2

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

ELETRÔNICA DIGITAL. Parte 6 Display, Decodificadores e Codificadores. Prof.: Michael. 1 Prof. Michael

Disciplina: Laboratório de Circuitos Digitais

Objetivo Geral: Executar procedimentos para instalação de lâmpadas fluorescentes.

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Capítulo VI Circuitos Aritméticos

ATIVIDADE DE MATEMÁTICA (PARA CASA) Data de entrega 18/04/2012

5. O Mapa de Karnaugh

Portas Lógicas Básicas: Parte 2 Simulação Lógica

CRONÔMETRO DIGITAL PROJETO

Projeto CONDIGITAL Representações Gráficas Guia do Professor

Universidade Federal de Juiz de Fora Laboratório de Eletrônica CEL 037 Página 1 de 7

UM PROCESSADOR SIMPLES

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

LAB01 Introdução ao Altera Quartus II: LEDs, lógica combinacional, e contador com visor de 7 segmentos utilizando a placa FPGA DE2 i

UNIVERSIDADE FEDERAL DE ITAJUBÁ

TIAGO VINÍCIUS DE SOUZA RAFAEL MARIZ GUIMARÃES PROJETO DE UM SUBTRATOR

Representação de Circuitos Lógicos

UNIVERSIDADE FEDERAL DE CAMPINA GRANDE CENTRO DE ENGENHARIA ELÉTRICA E INFORMÁTICA UNIDADE ACADEMICA DE ENGENHARIA ELÉTRICA ELETRÔNICA

Projeto com Linguagens de Descrição de Hardware

Aula 5: determinação e simplificação de expressões lógicas

Circuitos Aritméticos

Circuitos de Apoio. UEM/CTC Departamento de Informática Curso: Ciência da Computação Professor: Flávio Rogério Uber

UNIVERSIDADE FEDERAL DE ITAJUBÁ Instituto de Engenharia Elétrica Engenharia da Computação

Francisco S. do C. Pereira

SIMULAÇÃO DE CIRCUITOS

Circuitos Combinacionais Básicos

Tutorial :: Introdução ao VHDL em ambiente Design Works

TUTORIAL MATLAB Victor Breder 2016

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

Metodologias de Programação

Representação de Informação. 1. Converta cada um dos seguintes números para o seu equivalente decimal: a)

Circuito integrado Temporizador 555. Circuito Integrado Temporizador (Timer) 555

Lógica Reconfigurável

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Aula 01 TEOREMAS DA ANÁLISE DE CIRCUITOS. Aula 1_Teoremas da Análise de Circuitos.doc. Página 1 de 8


Figura 1 Circuito capacitivo em série.

Introdução ao Max+Plus II

FUNÇÕES MATEMÁTICAS NÚMERO : PI() SENO E COSSENO: SEN() E COS()

Transcrição:

Laboratório de Eletrônica Digital Controle de Lâmpadas Three-Way Experiência N o 01 Prof. Ivan Sebastião de Souza e Silva

Experiência N o 01 Controle de Lâmpadas Three-Way Experiências de Eletrônica Digital Um sistema three-way consiste de um dispositivo que permite o acionamento de uma lâmpada a partir de qualquer uma das três chaves (interruptores) existentes. Isto é, a lâmpada pode ser ligada ou desligada acionando-se apenas uma das chaves. Esse tipo de dispositivo pode ser utilizado em grandes salas com três portas, permitindo que a lâmpada seja ligada na entrada por uma das portas e desligada na saída por qualquer das portas. 1. Projeto De acordo com a descrição apresentada acima, preencha a Tabela Verdade abaixo e escreva as equações correspondentes à saída L, nas formas padrão de soma de produtos e produto de somas. Considere que C2, C1 e C0 são as variáveis de entrada correspondentes às três chaves; L representa o estado da lâmpada (L=0: Lâmpada desligada; L=1: Lâmpada ligada) Tabela Verdade: C2 C1 C0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 L Soma Padrão de produtos L = (1) Produto padrão de somas L = (2) 2. Projeto 01: Forma de Soma de Produtos 2.1. Crie um novo projeto (file>new Project Wizard) denominado three_way_sp, localizado na pasta Lab1, com a entidade (entity) de topo denominada three_way_sp. O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 2.2. Crie um novo arquivo de Diagrama Esquemático (Block Diagram/Schematic File) com o nome "three_way_sp" e desenhe o esquemático correspondente à equação 1 obtida no item 1. Acrescente os pinos (pins) necessários com as denominações definidas no item 1. 2.3. Defina "three_way_sp" como entidade de topo. 2.4. Compile o esquemático obtido e corrija todos os erros indicados pelo compilador. 2.5. Crie um novo arquivo de forma de onda (Vector Waveform File), denominado "three_way_sp", detecte todas os pinos (Pins) e defina as formas de onda de cada entrada de modo a satisfazer a tabela verdade do ítem 1. 2.6. Defina o tipo de simulação como Funcional (funtional), indicando (se for o caso) o arquivo vwf anteriormente criado. 2.7. Gere o Netlist da Simulação Funcional (Generate Functional Simulation Netlist). 2.8. Quando todos os itens acima estiverem sem erros indicados pelo Quartus II, simule o projeto obtido e verifique os resultados. Prof. Ivan Sebastião de Souza e Silva Página 2

3. Projeto 02: Forma de Produto de Somas 3.1. Dentro da mesma pasta Lab1, crie um novo projeto (file>new Project Wizard) denominado three_way_ps, com a entidade (entity) de topo denominada three_way_ps. O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 3.2. Crie um novo arquivo de Diagrama Esquemático com o nome "three_way_ps" e desenhe o esquemático correspondente à equação 2 obtida no ítem 1. Acrescente os pinos (pins) necessários com as denominações definidas no item 1. 3.3. Repita os procedimentos indicados nos itens 2.3 a 2.8. 3.4. Compare os resultados com os obtidos anteriormente no item 2. 4. Projeto 03: Apenas com Portas NAND 4.1. Aplicando o teorema de De Morgan na equação 1, obtenha uma expressão contendo apenas operações NAND. 4.2. Dentro da mesma pasta Lab1, crie um novo projeto (file>new Project Wizard) denominado three_way_nand, com a entidade (entity) de topo denominada three_way_nand. O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 4.3. Crie um novo arquivo de Diagrama Esquemático com o nome "three_way_nand" e desenhe o esquemático correspondente à equação obtida no item 4.1. 4.4. Defina " three_way_nand" como entidade de topo e repita os itens 2.4 a 2.8. 4.5. Compare os resultados com os obtidos anteriormente nos itens 2 e 3. 5. Projeto 04: Com Portas XOR 5.1. Com manipulações algébricas na equação 2, obtenha uma expressão contendo apenas operações XOR. 5.2. Dentro da mesma pasta Lab1, crie um novo projeto (file>new Project Wizard) denominado three_way_xor, com a entidade (entity) de topo denominada three_way_xor. O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 5.3. Crie um novo arquivo de Diagrama Esquemático com o nome "three_way_xor" e desenhe o esquemático correspondente à equação obtida no item 4.1. 5.4. Defina " three_way_xor" como entidade de topo e repita os itens 2.4 a 2.8. 5.5. Compare os resultados com os obtidos anteriormente nos itens 2, 3 e 4. 6. Proneto 05: Multiplexador 6.1. A parte (a) da figura abaixo mostra um circuito na forma de soma de produtos que implementa um multiplexador 2-para-1 com uma entrada de controle C. Se C = 0 a saída S do mux (multiplexador) é igual a E 0, se C = 1 a saída é igual a E 1. A parte (b) da figura mostra a tabela verdade para esse mux e a parte (c) mostra o símbolo do circuito. Prof. Ivan Sebastião de Souza e Silva Página 3

6.2. Dentro da mesma pasta Lab1, crie um novo projeto (file>new Project Wizard) denominado "mux2_1", com a entidade (entity) de topo denominada "mux2_1". O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 6.3. Crie um novo arquivo de Diagrama Esquemático com o nome "mux2_1" e desenhe o esquemático correspondente ao esquemático mosdtrado no item 6.1. Acrescente os pinos (pins) necessárias com as denominações já definidas. 6.4. Defina "mux2_1" como entidade de topo. 6.5. Compile o esquemático obtido e corrija todos os erros indicados pelo compilador. 6.6. Crie um novo arquivo de forma de onda, denominado "mux2_1", detecte todas os pinos (Pins) e defina as formas de onda de todas as entradas de modo a obter todas as combinações possíveis de valores lógicos. 6.7. Defina o tipo de simulação como Funcional (funtional), indicando (se for o caso) o arquivo vwf anteriormente criado. 6.8. Gere o Netlist da Simulação Funcional (Generate Functional Simulation Netlist). 6.9. Quando todos os itens acima estiverem sem erros indicados pelo Quartus II, simule o projeto obtido e verifique os resultados. 6.10. Crie um símbolo para o dispositivo obtido (file>create/update>create symbol files for current file). 7. Projeto 06: Com Mux 7.1. Dentro da mesma pasta Lab1, crie um novo projeto (file>new Project Wizard) denominado "three_way_mux", com a entidade (entity) de topo denominada "three_way_mux". O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 7.2. Crie um novo arquivo de Diagrama Esquemático com o nome "three_way_mux" e, utilizando dispositivos como aquele obtido no item 6, desenhe o esquemático correspondente à equação 1. 7.3. Defina "three_way_mux" como entidade de topo. Prof. Ivan Sebastião de Souza e Silva Página 4

7.4. Compile o esquemático obtido e corrija todos os erros indicados pelo compilador. 7.5. Defina o tipo de simulação como Funcional (funtional), indicando (se for o caso) o mesmo arquivo vwf utilizado no item 2. 7.6. Gere o Netlist da Simulação Funcional (Generate Functional Simulation Netlist). 7.7. Quando todos os itens acima estiverem sem erros indicados pelo Quartus II, simule o projeto obtido e verifique os resultados, comparando com aqueles obtidos nos itens 2, 3, 4 e 5. 8. Projeto 7: Descrição em VHDL 8.1. Dentro da mesma pasta Lab1, crie um novo projeto (file>new Project Wizard) denominado "three_way_hdl", com a entidade (entity) de topo denominada "three_way_hdl". O dispositivo a ser utilizado é o EP2C35F672C6 da família Cyclone II. 8.2. Crie um arquivo VHDL denominando "three_way_hdl". Com base na equação 1, faça uma descrição em VHDL denominando a entidade (entity) de topo como "three_way_hdl" e salve o arquivo correspondente com o mesmo nome dado à entidade. 8.3. Defina "three_way_hdl" como entidade de topo. 8.4. Compile o arquivo hdl obtido e corrija todos os erros indicados pelo compilador. 8.5. Defina o tipo de simulação como Funcional (funtional), indicando (se for o caso) o mesmo arquivo vwf utilizado no item 2. 8.6. Gere o Netlist da Simulação Funcional (Generate Functional Simulation Netlist). 8.7. Quando todos os itens acima estiverem sem erros indicados pelo Quartus II, simule o projeto obtido e verifique os resultados, comparando com aqueles obtidos nos itens 2, 3, 4, 5 e 7. 9. Simulação no modo Timing 9.1. Refaça todas as simulações anteriores estabelecendo o tipo "timing" de simulação. 9.2. Determine o atraso fornecido por cada uma das soluções estudadas. 10. Implementação em FPGA 10.1. Seguindo orientações contidas no Tutorial Tutorial Quartus II, defina os pinos que serão utilizados, faça os up-loads dos projetos 6 e 7, e teste as implementações realizadas. Prof. Ivan Sebastião de Souza e Silva Página 5