Exercícios de Laboratório 1

Tamanho: px
Começar a partir da página:

Download "Exercícios de Laboratório 1"

Transcrição

1 Tradução do Laboratory Exercise 1 disponível em < Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores O objetivo desse exercício é aprender como conectar dispositivos de entrada e saída simples no chip do FPGA e implementar circuitos que usem esses dispositivos. Nos vamos usar as chaves SW 17-0 na placa DE2 como entradas para o circuito. Os LEDs e os displays de sete segmentos funcionarão como dispositivos de saída. Parte I A DE2 possui 18 chaves, nomeadas SW 17-0, que podem ser usadas como entradas para circuitos, e 18 LEDs vermelhos, nomeados LEDR 17-0, que podem ser usados para mostrar valores de saídas. A Figura 1 mostra uma entidade simples em VHDL que usa os LEDs vermelhos para mostrar o estado das chaves. Como existem LEDs vermelhos na mesma quantidade das chaves, é conveniente representarmos vetores utilizando os mesmos, como mostrado. Usamos atribuições simples para as 18 saídas (nos LEDs vermelhos), o que equivale as seguintes atribuições individuais A DE2 tem conexões hardwired entre o chip FPGA e as luzes e chaves. Para usar SW 17-0 e LEDR 17-0 é necessários incluir no projeto do Quartus II o mapeamento correto dos pinos, fornecido no Manual de Usuário da DE2. Por exemplo, o manual especifica que o SW0 está conectado ao pino 25 do chip FPGA e o LEDR0 está ao pino AE23. Uma boa maneira de fazer as associações de pinos é importar o arquivo chamado DE2_pin_assignments.csv, que é fornecido no CD que acompanha a placa (DE2 Systems CD) ou na seção do programa universitário no site da Altera. O procedimento para fazer as associações é descrito no tutorial Quartus II Introduction using VHDL Design (Introdução ao Quartus II usando VHDL), que também pode ser encontrado no site da Altera. É importante entender que o arquivo de associação de pinos é útil somente se os nomes utilizados nas entidades VHDL forem exatamente os mesmos que constam em tal arquivo. O arquivos usa os nomes SW[0]...SW[17] e LEDR[0]...SW[17] para as chaves e luzes, sendo essa a razão de usarmos esses nomes na entidade da Figura 1 (note que o Quartus II usa "[]" chaves para elementos de um vetor enquanto a sintaxe de vetores em VHDL usa "()" parênteses).

2 Figura 1. Código VHDL que usa chaves e LEDs da DE2. Execute os passos abaixo para implementar um circuito correspondente ao código na Figura 1 na DE2. 1. Crie um novo projeto no Quartus II. Selecione o chip Cyclone II EP2C35F672C6, o qual é o chip FPGA presente na DE2. 2. Em seu projeto, crie uma entidade VHDL e digite o código da Figura Inclua o arquivo de associação de pinos em seu projeto. Compile o projeto. 4. Carregue o projeto compilado no chip FPGA. Teste o funcionamento do circuito mudando a posição das chaves. Parte II A Figura 2a mostra um circuito multiplexador 2-para-1 com um seletor de entrada s. Se s=0 a saída do multiplexador será igual a x (m=x); se s=1, igual a y (m=y). A Figura 2b mostra a tabela verdade do circuito, e 2c mostra a simbologia do mesmo. Figura 2. Multiplexador 2-para-1 O multiplexador pode ser descrito (em VHDL) da seguinte forma: Agora escreva uma entidade VHDL que inclua oito atribuições como as usadas anteriormente para descrever o circuito da Figura 3a. Esse circuito terá duas entradas de oito bits, X e Y, e produzirá uma saída M, também de oito bits. Se s=0, M=X; se s=1, M=Y. Nós nos referimos a esse circuito como um multiplexador de oito bits de largura. A Figura 3b mostra a simbologia do multiplexador citado, no qual X, Y e M são identificados como conexões de 8bits. Execute os passos a seguir:

3 Figura 3. Multiplexador 2-para-1 com 8bits de largura 1. Crie um novo projeto no Quartus. 2. Inclua o arquivo do multiplexador de 8bits no projeto. Use a chave SW17 como s, as chaves SW 7-0 como X e SW 15-8 com Y. Conecte as chaves SW aos LEDRs e a saída M aos LEDG Inclua o arquivo de associação de pinos no seu projeto. Como foi discutido na Parte I, garantem que sejam usados os pinos certos do chip FPGA da DE2, garantindo a correta conexão das chaves e LEDs utilizados no projeto. 4. Compile o projeto. 5. Carregue o circuito compilado no chip FPGA. Teste a funcionalidade do multiplexador de oito bits mudando a posição das chaves e observe as mudanças nos LEDs. Parte III Na Figura 2 nós mostramos o multiplexador 2-para-1, que seleciona entre duas entrados x e y. Para essa etapa, considere que o circuito com saída m deve selecionar entre cinco saídas diferentes u, v, w, x, e y. A parte a da figura 4 mostra como podemos descrever um multiplexador 5-para-1 usando quatro multiplexadores 2-para1. O circuito usa um seletor de 3 bits s2s1s0 e implementa a tabela verdade mostrada na Figura 4b. A parte c da mesma figura mostra a simbologia do circuito. Relembre [da Figura 3] que um multiplexador 2-para-1 de oito bits de largura pode ser implementado usando-se instâncias de um multiplexador 2-para-1 simples. A Figura 5 aplica esse conceito para definir um multiplexador 5-para-1 de três bits de largura, o qual contém três instâncias do circuito da Figura 4a.

4 Figura 4. Multiplexador 5-para-1 Parte IV Figura 5. Multiplexador 5-para-1 com seletor de 3 bits Execute os seguintes passos para implementar o multiplexador 5-para-1 de três bits de largura. 1. Crie um novo projeto no Quartus II. 2. Crie uma entidade VHDL para o multiplexador a ser criado. Conecte a entrada de seleção às chaves SW e use o restante das chaves para criar cinco entradas de 3bits cada (entradas U até Y). Conecte as chaves aos LEDs vermelhos e a saída M a três LEDs verdes. 3. Inclua o arquivo de associação de pinos no seu projeto. 4. Carregue o projeto compilado no FPGA. Teste a funcionalidade do novo circuito mudando a posição das chaves e observe a saída nos LEDs. A Figura 6 mostra um módulo decodificador para um display de 7 segmentos que tem uma entrada de 3bits c2c1c0. Esse decodificador produz sete saídas, as quais são usadas para mostrar um caractere no display de 7 segmentos. A Tabela 1 lista os caracteres que devem ser

5 mostrados para cada valos da entrada c2c1c0. Para manter a simplicidade, somente quatro caracteres são incluídos na tabela (mais o caractere "branco", os quais são selecionados com os códigos ). O display de 7 segmentos é identificados pelo índices de 0 a 6 mostrado na figura abaixo. Cada segmento é iluminado se o valor lógico 0 for atribuído ao mesmo. Você deve escrever uma entidade que implemente que represente os funções lógicas necessárias para ativar cada um dos sete segmentos. Use somente atribuições simples para especificar cada expressão booleana usada nas funções lógicas. Figura 6. Decodificador de 7 segmentos. Tabela 1. Códigos de caracteres. Execute os passos seguintes: 1. Crie um novo projeto no Quartus II para o novo circuito. 2. Crie uma entidade para o decodificador de 7 segmentos. Conecte c2c1c0 às chaves SW 2-0 e conecte as saídas do decodificador no HEX0 para ser mostrado na DE2. Os segmentos do display são chamados HEX0 0, HEX0 1,..., HEX0 6, de acordo com a Figura 6. Você deve declarar uma porta de 7bits no seu código para que os pinos sejam associados corretamente. 3. Compile o projeto. 4. Carregue o circuito criado no FPGA. Teste o circuito alterando a posição das chaves SW 2-0 e observe o display de 7 segmentos. Parte V Considere o circuito mostrado na Figura 7. Ele usa o multiplexador 5-para-1 de três bits de largura para habilitar a seleção de cinco caracteres H, E, L, O e "branco". O código dos caracteres estão na Tabela 1, usando as chaves SW14-0 para inseri-los e usando as chaves SW para especificar o display que vai exibi-lo. Um rascunho de código que representa o circuito é mostrado na figura 8. Note que usamos os circuitos criados nas parte II e IV como módulos (ou subcircuitos). Você deverá estender o código da figura 8 para que possamos usar cinco displays de 7 segmentos ao invés de somente um. Você vai precisar de cinco instâncias para cada módulo. O propósito do circuito final é mostrar uma palavra utilizando os cinco displays compostos de caracteres da Tabela 1 e poder rotacionar a palavra de forma circular, movendo as letras através de dos cinco displays quando a posição das chaves SW for alterada. Como exemplo, se a palavra a ser mostrada for HELLO, o circuito deverá criar os padrões de saída mostrados na Tabela 2.

6 Figura 7. Circuito que pode selecionar e exibir cinco caracteres. Figura 8. Código VHDL para o circuito da Figura 7. Tabela 2. Rotação da palavra HELLO em cinco displays. Execute os passos seguintes.

7 1. Crie um novo projeto no Quartus II. 2. Inclua sua entidade no projeto. Conecte as chaves SW no seletor de cada instância do multiplexador 5-para-1 de três bits de largura. Também conecte SW 14-0 em cada instância dos multiplexadores para que seja possível criar os padrões de caracteres mostrados na Tabela 2. Conecte as saídas dos cinco multiplexadores aos displays de 7 segmentos HEX4, HEX3, HEX2, HEX1 e HEX0. 3. Inclua o arquivo de associação de pinos e compile o projeto. 4. Carregue o projeto compilado no FPGA e teste o novo circuito alterando a posição das chaves SW 14-0 para selecionar o padrão de caracteres e das chaves SW para ver a rotação da palavra. Parte VI Estenda seu circuito criado na Parte V para que todos os displays de 7 segmentos sejam utilizados. Seu circuito deve ser capaz de mostrar palavras com até cinco caracteres nos oito displays, e rotacionar a palavra que está sendo exibida quando o padrão das chaves SW for alterado. Se a palavra é HELLO, então seu circuito deve produzir os padrões mostrados na Tabela 3. Siga os passos seguintes: Tabela 3. Rotação da palavra HELLO em oito displays. 1. Crie um novo projeto no Quartus II. 2. Inclua sua entidade no projeto. Conecte as chaves SW nos seletores de cada instância dos multiplexadores em seu projeto. Conecte também as chaves SW 14-0 em cada instância dos multiplexadores para que possam ser produzidos os padrões de caracteres mostrados na Tabela. (Dica: para algumas entradas dos multiplexadores você irá desejar selecionar o caractere "branco".) Conecte as saídas dos multiplexadores aos displays HEX7,..., HEX0. 3. Inclua o arquivo de associações de pinos e compile seu projeto. 4. Carregue o projeto no FPGA. Teste a funcionalidade do circuito selecionando a posição das chaves SW 14-0 na sequência correta e alterando SW para observar a rotação da palavra.

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

Lab Verilog Chaves, LEDs e Multiplexadores

Lab Verilog Chaves, LEDs e Multiplexadores MINISTÉRIO DA EDUCAÇÃO UTFPR UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ CAMPO MOURÃO Lab. 01 - Verilog Chaves, LEDs e Multiplexadores Projetos de Sistemas Integrados Prof. Roberto Ribeiro Neli Neste laboratório

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Circuito de Recepção Serial Assíncrona

Circuito de Recepção Serial Assíncrona Circuito de Recepção Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é aplicar a metodologia de projeto de circuitos digitais com dispositivos programáveis adotado no Laboratório Digital

Leia mais

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE1 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041/ELT512 Laboratórios de Eletrônica Digital I e Eletrônica Digital II Desenvolvimento

Leia mais

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues

Desenvolvimento com a placa Altera DE2 Prof. Rodrigo de Paula Rodrigues UNIFEI Universidade Federal de Itajubá IESTI - Instituto de Engenharia de Sistemas e Tecnologia da Informação ELT029/ELT041 Laboratório de Eletrônica Digital I / Digital II A placa DE2 Desenvolvimento

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis E.T.M./2011 (adaptação) RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais

Leia mais

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2015 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

EPUSP PCS 3635 Laboratório Digital I. Trena Digital

EPUSP PCS 3635 Laboratório Digital I. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

Banco de Registradores e ULA

Banco de Registradores e ULA Banco de Registradores e ULA Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de 4 bits cada. Este circuito deve armazenar um

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS

EPUSP PCS 2011/2305/2355 Laboratório Digital SOMADORES DECIMAIS SOMADORES DECIMAIS Versão 2012 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits (por exemplo, o circuito integrado 74283). A parte

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2012 RESUMO Nesta experiência será apresentada uma metodologia estruturada para projeto de sistemas digitais utilizando FPGAs

Leia mais

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis

Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Introdução ao Projeto de Sistemas Digitais com Dispositivos Programáveis Versão 2013 RESUMO Nesta experiência será desenvolvido um projeto de sistema digital em um dispositivo programável (FPGA) com a

Leia mais

Circuito Hierárquico

Circuito Hierárquico Circuito Hierárquico Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital de forma hierárquico. Uma hierarquia de módulos compõe o projeto, onde cada módulo

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

Manual do Usuário Brother Meter Read Tool

Manual do Usuário Brother Meter Read Tool Manual do Usuário Brother Meter Read Tool BRA-POR Versão 0 Direitos autorais Copyright 2017 Brother Industries, Ltd. Todos os direitos reservados. As informações incluídas neste documento estão sujeitas

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Projeto de Lógica Combinatória

Projeto de Lógica Combinatória Projeto de Lógica Combinatória S. W. Song MAC 412 - Organização de Computadores Lógica combinatória Esse assunto já foi visto em Álgebra Booleana. Aqui vamos apenas tratar de alguns tópicos específicos.

Leia mais

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS

EPUSP PCS 2011 Laboratório Digital GERADOR DE SINAIS GERADOR DE SINAIS Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização com o problema da conversão de sinais digitalizados em sinais analógicos, o conversor digital-analógico de 8 bits

Leia mais

Introdução ao Altera DE2. Edson Midorikawa

Introdução ao Altera DE2. Edson Midorikawa Introdução ao Altera DE2 Edson Midorikawa Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone II 2C35 USB Blaster built in on board

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Banco de Registradores

Banco de Registradores Banco de Registradores Versão 201 RESUMO Esta experiência tem como objetivo o desenvolvimento de um banco de registradores contendo 8 registradores de bits cada. Este circuito deve armazenar um conjunto

Leia mais

CONTROLE DE UM SERVO MOTOR

CONTROLE DE UM SERVO MOTOR CONTROLE DE UM SERVO MOTOR Versão 2015 RESUMO Esta experiência tem como objetivo a familiarização e o projeto de um circuito de controle simples de um servo motor. A parte experimental inclui atividades

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

ESPECIFICAÇÃO DO PROJETO (Segunda Unidade)

ESPECIFICAÇÃO DO PROJETO (Segunda Unidade) ESPECIFICAÇÃO DO PROJETO (Segunda Unidade) 2013.2 Projeto da CPU O projeto da CPU precisa ser acoplado a um decodificador para display de sete segmentos que também será desenvolvido. Neste projeto da segunda

Leia mais

Introdução ao Laboratório Digital

Introdução ao Laboratório Digital Introdução ao Laboratório Digital Versão 2016 RESUMO Esta experiência tem como objetivo um contato inicial com o Laboratório Digital com o desenvolvimento de uma atividade planejada envolvendo o projeto

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Trena Digital

EPUSP PCS 3335 Laboratório Digital A. Trena Digital Trena Digital Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver uma Trena Digital, ou seja, um circuito digital que realiza a medida de distância para um objeto, usando um sensor ultrassônico

Leia mais

ESPECIFICAÇÃO DO PROJETO (Segunda Unidade)

ESPECIFICAÇÃO DO PROJETO (Segunda Unidade) ESPECIFICAÇÃO DO PROJETO (Segunda Unidade) Projeto da CPU com Teclado O projeto da CPU precisa ser acoplado a um teclado com comunicação PS2 bem como a um decodificador para display de sete segmentos que

Leia mais

EPUSP PCS 2308 Laboratório Digital. Trena Digital

EPUSP PCS 2308 Laboratório Digital. Trena Digital Trena Digital Versão 2014 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a medida de distância para um objeto. A implementação será desenvolvida com o dispositivo programável

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL

EPUSP PCS 3335 Laboratório Digital A. Introdução ao VHDL Introdução ao VHDL Versão 2016 RESUMO Esta experiência consiste no estudo de descrições VHDL e no projeto e implementação de um circuito digital simples. São apresentados aspectos básicos da linguagem

Leia mais

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2

Introdução ao Projeto de Circuitos com Altera DE2. Edson Midorikawa. Setembro/2012. Altera DE2 Introdução ao Projeto de Circuitos com Altera DE2 Edson Midorikawa Setembro/2012 Altera DE2 Altera DE2 Altera Cyclone II 2C35 FPGA with 35.000 LEs Altera Serial Configuration devices (EPCS16) for Cyclone

Leia mais

Relatório Circuitos Lógicos. Calculadora 4 bits

Relatório Circuitos Lógicos. Calculadora 4 bits INSTITUTO FEDERAL DE SANTA CATARINA-IFSC CÂMPUS SÃO JOSÉ Relatório Circuitos Lógicos Calculadora 4 bits Marcelo Bittencourt do Nascimento Filho Sarom da Silva Torres SÃO JOSÉ, 2018 1. Introdução O presente

Leia mais

Usar segmentações de dados para filtrar dados de Tabela Dinâmica

Usar segmentações de dados para filtrar dados de Tabela Dinâmica Página 1 de 8 Excel > Analisando dados > Relatórios da Tabela Dinâmica > Usando a Tabela Dinâmica e o Assistente de Tabela Dinâmica Usar segmentações de dados para filtrar dados de Tabela Dinâmica Mostrar

Leia mais

Interface com Sensor Ultrassônico de Distância

Interface com Sensor Ultrassônico de Distância Interface com Sensor Ultrassônico de Distância Versão 2016 RESUMO Esta experiência tem por objetivo desenvolver um circuito que realiza a interface com o sensor ultrassônico de distância HC-SR04. A implementação

Leia mais

Milestone Systems. Aba Consulta rápida: Ativar licenças para os produtos XProtect VMS 2018 R3. XProtect Professional e XProtect Express

Milestone Systems. Aba Consulta rápida: Ativar licenças para os produtos XProtect VMS 2018 R3. XProtect Professional e XProtect Express Milestone Systems Aba Consulta rápida: Ativar licenças para os produtos XProtect VMS 2018 R3 XProtect Professional e XProtect Express Antes de iniciar Este guia descreve as etapas básicas para parceiros

Leia mais

LABORATÓRIO DE ARQUITETURA DE COMPUTADORES PREPARAÇÃO 02: DISPLAY DE 7 SEGMENTOS MICROCONTROLADO

LABORATÓRIO DE ARQUITETURA DE COMPUTADORES PREPARAÇÃO 02: DISPLAY DE 7 SEGMENTOS MICROCONTROLADO AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE ARQUITETURA DE COMPUTADORES Prof. Sérgio

Leia mais

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro

EPUSP PCS 2011/2305/2355 Laboratório Digital. Frequencímetro Frequencímetro Versão 2014 RESUMO Esta experiência tem como objetivo a familiarização com duas classes de componentes: os contadores e os registradores. Para isto, serão apresentados alguns exemplos de

Leia mais

Comunicação Serial Assíncrona

Comunicação Serial Assíncrona Comunicação Serial Assíncrona Versão 2016 RESUMO O objetivo desta experiência é projetar circuitos digitais para comunicação serial de dados (transmissão de dados) com um terminal de dados, utilizando

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

Projetos de Circuitos Digitais em VHDL e FPGA

Projetos de Circuitos Digitais em VHDL e FPGA Projetos de Circuitos Digitais em VHDL e FPGA Cap. 3 - Projetos de Circuitos Combinacionais Lógicos com VHDL Prof. Erivelton Geraldo Nepomuceno Engenharia Elétrica UFSJ - Universidade Federal de São João

Leia mais

Familiarização e Interface com Modem

Familiarização e Interface com Modem Loop-back Full-duplex EPUSP PCS 3645 Laboratório Digital II RESUMO O objetivo desta experiência é a familiarização e p projeto de um circuito de comunicação com MODEMs. Nesta experiência será analisado

Leia mais

Calculadora Simples em VHDL

Calculadora Simples em VHDL Calculadora Simples em VHDL Versão 2014 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware. São apresentados

Leia mais

Circuito de Aritmética Decimal

Circuito de Aritmética Decimal Circuito de Aritmética Decimal Versão 2017 RESUMO Nesta experiência será estudado um circuito aritmético de soma decimal a partir dos somadores binários de 4 bits. Circuitos aritméticos integrados, como

Leia mais

Bem-vindo ao Picture Package Producer2

Bem-vindo ao Picture Package Producer2 Manual do Picture Package Producer2 Bem-vindo ao Picture Package Producer2 Bem-vindo ao Picture Package Producer2 Iniciar e sair do Picture Package Producer2 Passo 1: Selecionando imagens Passo 2: Verificando

Leia mais

Tutorial para Acesso Portal dos Conselheiros

Tutorial para Acesso Portal dos Conselheiros Tutorial para Acesso Portal dos Conselheiros Acesso ao Portal dos Conselheiros Para obter acesso ao sistema, siga corretamente os passos logo abaixo: Acesse o endereço no navegador, http://sistemas.docasdoceara.com.br/sispcon/.

Leia mais

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 04: Circuitos Combinacionais Decodificadores

LABORATÓRIO DE CIRCUITOS DIGITAIS. PREPARAÇÃO 04: Circuitos Combinacionais Decodificadores AEVSF Autarquia Educacional do Vale do São Francisco FACAPE Faculdade de Ciências Aplicadas e Sociais de Petrolina Curso de Ciência da Computação LABORATÓRIO DE CIRCUITOS DIGITAIS Prof. Sérgio F. Ribeiro

Leia mais

Curso FFI Microprocessadores I

Curso FFI Microprocessadores I Curso FFI0396 - Microprocessadores I 2010 Lista 1 (10/08/2010) 1. Calcule as seguintes conversões: 943 10 em base 2, 8 e 16, 11001111 2 em base 8, 10 e 16, 7642 8 em base 2 e 10, D57A4 16 em base 2 e 10.

Leia mais

364 SISTEMAS DIGITAIS

364 SISTEMAS DIGITAIS 364 SISTEMAS DIGITAIS APLICAÇÕES EM SISTEMAS DIGITAIS Nesta seção de aplicações em sistemas digitais, começamos a trabalhar com um sistema de controle de semáforo de trânsito. Estabelecemos aqui os requisitos

Leia mais

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6

1 Objetivos. 2 Material utilizado. 3 Normas de segurança e conduta no laboratório. 4 Contextualização. Pág 1/6 Curso de Graduação em Ciência da Computação Disciplina: Laboratório de Eletrônica Digital Professor: Otávio Gomes (otavio.gomes@ifmg.edu.br) Atividade: Contadores síncronos em FPGA utilizando esquemáticos

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

MultiBoot (Multi-inicialização) Guia do Usuário

MultiBoot (Multi-inicialização) Guia do Usuário MultiBoot (Multi-inicialização) Guia do Usuário Copyright 2008 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas a alterações sem aviso. As únicas garantias

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores i

LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores i DEP. DE ENG.ª ELECTROTÉCNICA E DE COMPUTADORES FACULDADE DE CIÊNCIAS E TECNOLOGIA UNIVERSIDADE DE COIMBRA LAB04 Circuitos digitais combinacionais: descodificadores, multiplexers, demultiplexers, e codificadores

Leia mais

Projeto de CI Semidedicados

Projeto de CI Semidedicados Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

Câmera DS-2CD2620F-IZS

Câmera DS-2CD2620F-IZS Câmera DS-2CD2620F-IZS Configurações de câmera de rede pela LAN Para visualizar e configurar a câmera através de uma LAN, você precisa conectar a câmera de rede na mesma sub-rede com seu computador e instalar

Leia mais

Tutorial para uso da área do professor

Tutorial para uso da área do professor Tutorial para uso da área do professor Sumário 1. Acessando a área do professor...2 1.1 Comunicados registrados para professores...2 2. Cadastro de avaliações...3 2.1. Código e nome de avaliação criados

Leia mais

Circuito Decodificador BCD para Display de Sete Segmentos

Circuito Decodificador BCD para Display de Sete Segmentos Prática 5 Linguagem VHDL Prof. Cesar da Costa Circuito Decodificador BCD para Display de Sete Segmentos Um dos métodos mais simples para apresentação de dígitos alfanuméricos, em circuitos digitais, é

Leia mais

Passo 3: Preparando-se para a criação de seu vídeo de curta duração/apresentação de slides

Passo 3: Preparando-se para a criação de seu vídeo de curta duração/apresentação de slides Bem-vindo ao Picture Package Producer2 Iniciar e sair do Picture Package Producer2 Passo 1: Selecionando imagens Passo 2: Verificando as imagens selecionadas Passo 3: Preparando-se para a criação de seu

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

Revisão: Projeto e síntese de Circuitos Digitais em FPGA

Revisão: Projeto e síntese de Circuitos Digitais em FPGA Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Revisão: Projeto e síntese de Circuitos Digitais em FPGA DCA0119 Sistemas Digitais Heitor Medeiros Florencio

Leia mais

Multiplexador / Demultiplexador UFJF FABRICIO CAMPOS

Multiplexador / Demultiplexador UFJF FABRICIO CAMPOS Multiplexador / Demultiplexador Multiplexador / Demultiplexador 2 dados 1 bit de seleção 8 dados 3 bit de seleção 9.6) Multiplexadores (Seletores de dados) O Multiplexador seleciona um dos diversos dados

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Projeto e Implementação de um Fatorial em Hardware para Dispositivos Reconfiguráveis

Projeto e Implementação de um Fatorial em Hardware para Dispositivos Reconfiguráveis Projeto e Implementação de um Fatorial em Hardware para Dispositivos Reconfiguráveis Álamo G. Silva, Leonardo A. Casillo Departamento de Ciências Exatas e Naturais Universidade Federal Rural do Semi- Árido

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

Circuitos Combinacionais

Circuitos Combinacionais ! Circuitos Combinacionais x Sequenciais Combinacional - saídas dependem unicamente das entradas Entradas Circuito Combinacional Saídas Sequencial -háuma realimentação da saída para a entrada, denominada

Leia mais

DECODIFICADORES. Capítulo 5

DECODIFICADORES. Capítulo 5 Capítulo 5 DECODIFICADORES 5.1 Decodificadores... 73 5.1.1 Decodificador 2-para-4, com saídas ativas em alto... 73 5.1.2 Decodificador 2-para-4, com saídas ativas em baixo... 74 5.1.3 Decodificadores 3-para-8...

Leia mais

Desenvolvimento de um Circuito Complexo

Desenvolvimento de um Circuito Complexo Desenvolvimento de um Circuito Complexo Versão 2017 RESUMO Nesta experiência será estudado como organizar o projeto de um sistema digital complexo ou não trivial. Para isto será estudada uma metodologia

Leia mais

Bem-vindo ao tópico sobre procedimentos de autorização no SAP Business One.

Bem-vindo ao tópico sobre procedimentos de autorização no SAP Business One. Bem-vindo ao tópico sobre procedimentos de autorização no SAP Business One. 1 Após a conclusão deste tópico, você estará apto a descrever o fluxo do processo empresarial quando é utilizado um procedimento

Leia mais

Adendo do cliente usuário para a Disposição na largura total Xerox EX Print Server, Powered by Fiery para Xerox Color 800/1000 Press, versão 2.

Adendo do cliente usuário para a Disposição na largura total Xerox EX Print Server, Powered by Fiery para Xerox Color 800/1000 Press, versão 2. Adendo do cliente usuário para a Disposição na largura total Xerox EX Print Server, Powered by Fiery para Xerox Color 800/1000 Press, versão 2.2 A Disposição na largura total é um dispositivo de medição

Leia mais

Processador nanopcs-4

Processador nanopcs-4 Processador nanopcs-4 E.T.M./2012 RESUMO Esta experiência tem por objetivo o projeto do núcleo de um pequeno processador responsável pela execução de operações aritméticas e lógicas,de transferência de

Leia mais

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital

EPUSP PCS 3335 Laboratório Digital A. Um Circuito Digital Um Circuito Digital Versão 2016 RESUMO Esta experiência tem como objetivo o desenvolvimento de um circuito digital, especificado a partir de um diagrama ASM e um fluxo de dados. A parte prática deve ser

Leia mais

Sistemas Operacionais de Tempo Real Displays de 7 segmentos

Sistemas Operacionais de Tempo Real Displays de 7 segmentos 1 / 31 Sistemas Operacionais de Tempo Real Displays de 7 segmentos por Henrique Frank W. Puhlmann Introdução Este artigo faz parte da série de artigos que apresenta Bibliotecas de funções e rotinas padronizadas

Leia mais

Disciplina: Laboratório de Circuitos Digitais

Disciplina: Laboratório de Circuitos Digitais Universidade Federal de São Carlos Disciplina: Laboratório de Circuitos Digitais Prof. Dr. Emerson Carlos Pedrino 3ª Prática: Rotação de uma palavra nos Displays de 7 segmentos Data: 10/04/2014 Nome: Adrián

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

Circuito combinacional

Circuito combinacional Circuito combinacional É todo circuito cuja saída depende única e exclusivamente das várias combinações das variáveis de entrada. Estudando os circuitos combinacionais podemos entender o funcionamento

Leia mais

Modem e Rede Local Guia do Usuário

Modem e Rede Local Guia do Usuário Modem e Rede Local Guia do Usuário Copyright 2009 Hewlett-Packard Development Company, L.P. As informações contidas neste documento estão sujeitas a alterações sem aviso. As únicas garantias para produtos

Leia mais

APRESENTAÇÃO DO KIT CPLD_EE01

APRESENTAÇÃO DO KIT CPLD_EE01 APRESENTAÇÃO DO KIT CPLD_EE01 O kit CPLD_EE01 foi desenvolvido para alunos de cursos técnicos, engenharia e desenvolvedores na área de circuitos digitais, o mesmo conta com alguns módulos que podem ser

Leia mais

UM PROCESSADOR SIMPLES

UM PROCESSADOR SIMPLES UM PROCESSADOR SIMPLES Versão 2013 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de um núcleo de um processador simples. Na parte experimental este projeto deverá ser sintetizado

Leia mais

Suporta os microcontroladores: R. Leonardo da Vinci, Campinas/SP CEP F.: (19) Kit de Desenvolvimento ACEPIC 28

Suporta os microcontroladores: R. Leonardo da Vinci, Campinas/SP CEP F.: (19) Kit de Desenvolvimento ACEPIC 28 Suporta os microcontroladores: PIC16F870, PIC16F872, PIC16F873A, PIC16F876A, PIC16F886, PIC18F2420, PIC18F2520, PIC18F2525, PIC18F2620, PIC18F2455, PIC 18F2550 e outros com 28 pinos O Kit de desenvolvimento

Leia mais

Apostila de Windows Movie Maker

Apostila de Windows Movie Maker Apostila de Windows Movie Maker Autor: João Paulo da Silva Apostila de Windows Movie Maker Autor: João Paulo da Silva 2 Índice Introdução ao Windows Movie Maker...03 O que é o Windows Movie Maker?...03

Leia mais

Referência =SOMA(C20:C30) =SOMA(VendasDoPrimeiroTrimestre) Constante =PRODUTO(A5,8.3) =PRODUTO (Preço,ImpostoSobreVendasDeWA)

Referência =SOMA(C20:C30) =SOMA(VendasDoPrimeiroTrimestre) Constante =PRODUTO(A5,8.3) =PRODUTO (Preço,ImpostoSobreVendasDeWA) Página 1 de 9 Excel > Fórmulas > Trabalhando com nomes e referências de células Definir e usar nomes em fórmulas Mostrar tudo Usando nomes, você pode facilitar muito o entendimento e a manutenção das fórmulas.

Leia mais

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM)

12/11/13. Obje%vos do laboratório. SST20707 Síntese de Sistemas de Telecomunicações. Síntese de máquinas de estado (FSM) Finite State Machine (FSM) Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos Aviso de direitos Autorais: Transparências baseadas no trabalho do Prof.

Leia mais

Manual de utilização Módulo TCP/IP MTCP-4E4S

Manual de utilização Módulo TCP/IP MTCP-4E4S INSTALAÇÃO 1 - Conecte a fonte 12Vcc/1A a tomada e em seguida ao módulo MTCP-4E4S. 2 - Conecte o cabo de rede que acompanha o produto ao módulo TCP/IP (conector RJ45) e em seguida ao roteador ou servidor

Leia mais

Matriz Vídeo Componente & Áudio Estéreo & RS x 8 TRANSCORTEC STATUS OUTPUT 2 INPUT

Matriz Vídeo Componente & Áudio Estéreo & RS x 8 TRANSCORTEC STATUS OUTPUT 2 INPUT MVC-88P Matriz Vídeo Componente & Áudio Estéreo & RS-232 8 x 8 TRANSCORTEC STATUS OUTPUT 2 1 3 4 5 6 7 8 INPUT AUDIO IN AUDIO OUT 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8 AC IN Y/PB/PR OUT 1 Y/PB/PR OUT 2 Y/PB/PR

Leia mais

Packet Tracer - Navegando no IOS

Packet Tracer - Navegando no IOS Packet Tracer - Navegando no IOS Topologia Objetivos Parte 1: conexões básicas, acesso ao CLI e explorar ajuda Parte 2: explorar modos EXEC Parte 3: definir o relógio Histórico Nesta atividade, você praticará

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores

Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 9 Multiplexadores e Demultiplexadores Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Câmera DS2CD2120FI Configuração Wi-Fi Ao se conectar à rede sem fio, você não precisa usar cabo de qualquer tipo para a conexão de rede, o que é muito bom para o aplicativo de vigilância real. Configurando

Leia mais

SharePoint Online. Pesquisar Encontre Sites, Pessoas ou Arquivos. Criar um site ou postagem de notícias

SharePoint Online. Pesquisar Encontre Sites, Pessoas ou Arquivos. Criar um site ou postagem de notícias Guia de Início Rápido Realize muito mais de onde quer que esteja com acesso, compartilhamento e armazenamento de arquivos seguros. Entre com sua assinatura do Office 365 e selecione SharePoint no Inicializador

Leia mais

HydroGraph Software. Manual do Usuário. Remote Operation

HydroGraph Software. Manual do Usuário. Remote Operation HydroGraph Software Remote Operation Manual do Usuário PN FBC-0085 October 2014, Rev. 1 (Portuguese) 2014 Fluke Corporation. All rights reserved All product names are trademarks of their respective companies.

Leia mais

Novos recursos da PR-650 Versão 2 PT

Novos recursos da PR-650 Versão 2 PT Novos recursos da PR-650 Versão 2 PT Foram adicionados os novos recursos a seguir na versão 2. Antes de utilizar esta máquina, leia cuidadosamente este manual e o Manual de Operações incluído com sua máquina

Leia mais

Lógica e Linguagem de Programação Convertendo um algoritmo em pseudocódigo para a linguagem C Professor: Danilo Giacobo

Lógica e Linguagem de Programação Convertendo um algoritmo em pseudocódigo para a linguagem C Professor: Danilo Giacobo Lógica e Linguagem de Programação Convertendo um algoritmo em pseudocódigo para a linguagem C Professor: Danilo Giacobo Este documento explica como transformar um algoritmo escrito na forma pseudocódigo

Leia mais