Projeto de CI Semidedicados

Tamanho: px
Começar a partir da página:

Download "Projeto de CI Semidedicados"

Transcrição

1 Projeto de CI Semidedicados VHDL- Prática Especial (novembro/ 2012) Turma TECSUP São objetivos desta segunda prática de VHDL: Métodos estrutural de implementação da arquitetura VHDL; Hierarquia na descrição VHDL e as formas de sua implementação; Cuidados, observações e recomendações: a) Sempre que acessar o sistema Windows XP, não esqueça de selecionar a opção PSI no campo Efetuar Logon on na caixa de diálogo de entrada ao sistema. b) Todos os softwares utilizados são complexos. Nós procuramos nestas práticas dar ao aluno noções básicas sobre elas. Cabe ao próprio aluno, dado o seu interesse, explorar com maior profundidade o potencial das ferramentas de síntese e de simulação. Tutoriais, manuais, guias rápidos estão disponíveis em *.pdf e *.html no help das ferramentas. 1. Copiando arquivos. Utilizando o MS Explorer, crie no diretório home de sua área (X (Username do Aluno:=> um outro de nome, pratica_1 (Arquivo => Novo => Pasta). Em seguida copie para este diretório todos os arquivos que se encontram em Meus locais de rede => Toda a rede => Rede Microsoft Windows => Psi => Serverlab => curso_tecsup => pratica_1. Agora deve gerar os seguintes diretórios (ao mesmo nível do diretório pratica_1): projeto_and_2, projeto_and_3, projeto_or_2, projeto_xor_2, projeto_ha_stru, projeto_full_adder, e finalmente projeto_full_adder_modificado. 2. Somador Completo de 1 Bit (Full Adder) - Introdução. As entradas do somador completo são os pinos de dados (A e B) e o de vem-um (carry-in, C i ) e as saídas são os pinos soma (S) e vai-um (carry-out, C o ). O esquema lógico mostrado na figura 1 corresponde às equações de um somador baseado nos sinais intermediários gerar (generate) e propagar (propagate): G I = A.B (generate) e P I = A B (propagate) com Co = GI+ PI.Ci= GI+ GII e S= PI Ci Deve-se observar que enable é um sinal que serve apenas como um controle de habilitação. Quando enable=0, as saídas são desabilitadas (C o =S=0); se enable=1, é como se o sinal não existisse, e as equações acima são implementadas. Estas equações podem ser realizadas a partir de dois meio-somadores (half adders), que são realizados, por sua vez, com as funções generate e propagate. 1

2 enable x y xor_res 4 ns result carry 3 ns Half Adder Full Adder enable A B C i 4 ns 3 ns Half Adder P I G I 4 ns 3 ns Half Adder G II S C o Figura 1. Somador completo de 1 bit 2.1. Implementação através de VHDL Estrutural - Projeto baseado em hierarquia Vamos estudar nesta seção a modelagem estrutural de circuitos baseado em componentes. Este tipo de modelo permite definir vários níveis de hierarquia. Serão ilustrados aspectos relacionados à associação (binding) entre componentes e bibliotecas assim como entre entidades e arquiteturas (são aspectos de hierarquia normalmente considerados de média granularidade, ao contrário de procedimentos e funções que são considerados aspectos de baixa granularidade) Simulação do comportamento dos circuitos and2, and3, or2, xor2. Para que uma simulação de qualquer circuito seja possível, é necessário existir a sua descrição comportamental. Nesta prática, o comportamento é dado pelas portas lógicas básicas and, or e xor. a) Comece uma nova sessão no Quartus II

3 b) Crie um projeto como o nome do circuito a testar (por exemplo, pode começar pelo circuito and2). Para isto clique em File>New Project Wizard. i. Aparecerá a janela de introdução do wizard. Pressione Next. ii. iii. iv. Indique o caminho do seu diretório de trabalho, por exemplo X:\ (Username do Aluno) \pratica_1\projeto_and_2). Tanto o nome do projeto como da entidade top-level é aqule que você definiu como entity do arquivo VHDL,ou seja and_2. Pressione Next. Adicione o arquivo *.vhd que contém o circuito a testar. Estabeleça o caminho do arquivo (o qual está no diretório pratica_1), após o qual pressione Add. Depois, pressione Next. Selecione a família Cyclone II e o dispositivo EP2C35F672C6. Pressione Next. v. Selecione como tool de simulação: ModelSim-Altera e pressione Finish. c) Na aba Files, clique duas vezes sobre and2.vhd e observe o conteúdo do arquivo. Tenha certeza que entende o código VHDL. d) Clique em Processing > Start > Start Analysis & Elaboration. e) Clique em Tools > RunEDASimulationTool > EDA RTL Simulation. Agora uma nova janela do software ModelSim-Altera se abrirá. f) Na janela do ModelSim-Altera, dê um clique em work e depois dê dois clicks sobre o arquivo do circuito a testar (simular). g) Selecione da janela Objects os sinais do circuito a testar. Depois disto dê um clique em Add > To Wave > Selected Signals. h) Depois eleja um dos sinais de entrada e mude o seu valor fazendo uso de Wave > Force e na janela que aparece indique um valor (0 ou 1). Repita o mesmo para a outra ou outras entradas e designe um valor para elas. Após de isto execute uma simulação de 10 ns digitando no prompt de simulação vsim> run 10. O que ocorre? i) Repita a etapa anterior quantas vezes você desejar para simular o circuito, com as as entradas com outros valores, e para poder avaliar o comportamento do circuito. j) O QUE DEVE SER OBSERVADO: Analise as transições e os tempos envolvidos, e compare com os delays declarados. Tenha certeza que tenha entendido a função de cada um dos tempos descritos no código VHDL. k) Repeta toda a etapa também para os circuitos and3, or2, xor Simulação do comportamento do circuito HA_stru. a) Comece uma nova sessão no Quartus II 9.1. b) Crie um projeto como o nome do circuito a testar (half_adder). Para isto clique em File>New Project Wizard. i. Aparecerá a janela de introdução do wizard. Pressione Next. 3

4 ii. iii. iv. Indique o caminho do seu diretório de trabalho, por exemplo X:\ (Username do Aluno) \pratica_1\ projeto_ha_stru); tanto o nome do projeto como da entidade top-level é half_adder. Presione Next. Adicione o arquivo HA_stru.vhd que contém o circuito a testar, além dos sub-circuitos que ele utiliza, os quais são: and_2.vhd, xor_2.vhd e and_3.vhd. Estabeleça o caminho dos arquivos (os quais estão no diretório VHDL_2), após o qual pressione Add. Depois, pressione Next. Selecione a família Cyclone II e o dispositivo EP2C35F672C6. Pressione Next. v. Selecione como tool de simulação: ModelSim-Altera e pressione Finish. vi. Na sub-janela de Project Navigator dê um clique em Files e arrastre o arquivo HA_stru.vhd para deixá-lo abaixo dos outros três, pois assim o ModelSim-Altera poderá ter conhecimento de qual é o circuito de maior hierarquia para poder fazer a respetiva simulação. c) Utilize a sub-janela de Project Navigator para abrir o arquivo HA_stru.vhd e Observe: 1) os componentes; 2) o sinal auxiliar xor_res; 3) o mapeamento (construção a partir das portas básicas). d) Clique em Processing > Start > Start Analysis & Elaboration. e) Clique em Tools > RunEDASimulationTool > EDA RTL Simulation. Agora uma nova janela do software ModelSim-Altera se abrirá. f) Na janela do ModelSim-Altera dê um clique em work e depois de dois cliques sobre o arquivo half_adder. g) Selecione da janela Objects os sinais do circuito a testar. Depois disto de click em Add > To Wave > Selected Signals. h) Eleja um dos sinais de entrada (não mexer ainda com o sinal de enable) e mude o valor dele fazendo uso de Wave > Force e na janela que aparece indique um valor (0 ou 1). Repeta o mesmo para outras entradas e designe um valor para elas. Após isto, execute uma simulação de 10 ns digitando no prompt vsim> run 10. O que ocorre? i) Repita a etapa anterior, as vezes que for preciso, para que as entradas tenham outros valores e que se possa avaliar o comportamento do circuito. j) Repeta as etapas g e h com a restrição de se fazer com que o sinal de enable tenha um valor oposto ao que tinha antes (Caso tenha tido o valor de 0 lógico passará a ter o valor de lógico 1 e vice-versa). k) O QUE DEVE SER OBSERVADO: Analise as transições, os tempos envolvidos e se os valores finais de Carry (Generate) e Result (Propagate) estão corretos. Tenha certeza que tenha entendido a função de cada um dos tempos descritos no código VHDL. Tenha certeza que tenha entendido o uso de componentes (declaração e instanciação) e o sistema de hierarquia de módulos. 4

5 Simulação do comportamento do circuito full_adder. a) Comece uma nova sessão no Quartus II 9.1. b) Crie um projeto como o nome do circuito a testar (full_adder). Para isto clique em File>New Project Wizard. i. Aparecerá a janela de introdução do wizard. Pressione Next. ii. iii. iv. Indique o caminho do seu diretório de trabalho, por exemplo X:\ (Username do Aluno) \pratica_1\ projeto_full_adder); tanto o nome do projeto como da entidade top-level é full_adder. Pressione Next. Adicione o arquivo full_adder.vhd que contém o circuito a testar, além dos sub-circuitos que utiliza, os quais são: and_2.vhd, xor_2.vhd, and_3.vhd, or_2.vhd e HA_stru.vhd. Estabeleça o caminho dos arquivos (os quais estão no diretório VHDL_2), após o qual pressione Add. Depois, pressione Next. Selecione a família Cyclone II e o dispositivo EP2C35F672C6. Pressione Next. v. Selecione como tool de simulação: ModelSim-Altera e pressione Finish. vi. Na sub-janela de Project Navigator dê um clique em Files e arrastre o arquivo HA_stru.vhd e full_adder.vhd para deixá-los abaixo dos outros quatro (sendo o ultimo o full_adder.vhd). c) Utilize sub-janela de Project Navigator para abrir o arquivo full_adder.vhd e Observe: 1) os componentes; 2) a hierarquia entre as entidades; 3) o mapeamento (construção a partir half-adder). d) Clique em Processing > Start > Start Analysis & Elaboration. e) Clique em Tools > RunEDASimulationTool > EDA RTL Simulation. Agora uma nova janela do software ModelSim-Altera se abrirá. f) Na janela do ModelSimAltera dê um clique em work e depois de dois cliques sobre o arquivo full_adder. g) Selecione da janela Objects os sinais do circuito a testar. Depois disto de click em Add > To Wave > Selected Signals. h) Eeleja um dos sinais de entrada (não mexer ainda com o sinal de enable) e mude o valor dele fazendo uso de Wave > Force e na janela que aparece indique um valor (0 ou 1). Repeta o mesmo para outras entradas e designe um valor para elas. Após de isto execute uma simulação de 10 ns digitando no prompt vsim>run 10. O que ocorre? i) Repeta a etapa anterior, as vezes que for preciso, para que as entradas tenham outros valores e poda-se avaliar o comportamento do circuito. Qual é o efeito do sinal de entrada Ci no circuito? 5

6 j) Repeta as etapas h e i com a restrição de se fazer com que o sinal de enable tenha um valor oposto ao que tinha antes (Caso tenha tido o valor de lógico 0 passará a ter o valor de lógico 1 e vice-versa). k) O QUE DEVE SER OBSERVADO: Analise as transições, os tempos envolvidos e se os valores finais de Co e S estão corretos. Tenha certeza que tenha entendido a função de cada um dos tempos descritos no código VHDL. Tenha certeza que tenha entendido o uso de componentes (declaração e instanciação) e o sistema de hierarquia de módulos Modificação dos tempos do somador completo estrutural. a) Faca uma cópia do full_adder.vhd chamando-o de full_adder_modificado.vhd. Modifique os parâmetros de tempo de delay multiplicando-os por dez (X 10). b) Repeta todas as etapas desenvolvidas em 2.1.3, porém utilize o arquivo full_adder_modificado.vhd ao invés do full_adder.vhd, no subdiretório de projeto X:\ (Username do Aluno) \pratica_1\ projeto_full_adder_modificado. Mude os tempos de execução da simulação por um valor adequado para poder interpretá-las devido a modificação feita nos tempos de delay, c) O QUE DEVE SER OBSERVADO: Analise os tempos envolvidos nas transições. Entenda o que ocorreu. 6

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO

PSI-3451 Projeto de CI Lógicos Integrados. Aula 9- Atividade de Aula com Memória e FIFO PSI-3451 Projeto de CI Lógicos Integrados Aula 9- Atividade de Aula com Memória e FIFO A parte prática da aula 9 permitirá ao aluno familiarizar-se com a geração de memórias (SRAM e FIFO) na foram de IP

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4

PSI-3451 Projeto de CI Lógicos Integrados. Aula 4 PSI-3451 Projeto de CI Lógicos Integrados Aula 4 A parte prática da aula 4 pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, particularmente funções e procedimentos,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Exp 1A: Captura da Arquitetura RTL do Processador Fibonacci

Leia mais

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais) Universidade Federal do Pará Instituto de Tecnologia Faculdade de Engenharia Elétrica Laboratório de Eletrônica Digital Tutorial Quartus II (Procedimentos para Criação e Simulação de Projetos Digitais)

Leia mais

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

Introdução à Simulação em VHDL. Ney Laert Vilar Calazans Introdução à Simulação em VHDL Ney Laert Vilar Calazans 06/março/2010 Descrição Completa do Somador library IEEE; use IEEE.Std_Logic_1164.all; entity halfadd is port (A, B: in std_logic; Sum, Carry: out

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados

PSI-3451 Projeto de CI Lógicos Integrados PSI-3451 Projeto de CI Lógicos Integrados Sejam bem-vindos ao laboratório do PSI. Esta primeira prática de VHDL pretende familiarizar o aluno com o software para simulação ModelSim. Através dele serão

Leia mais

DIGITAR AQUI OS COMANDOS

DIGITAR AQUI OS COMANDOS 1. Configuração do ambiente de trabalho Tutorial Modelsim para Simulação VHDL Trabalho a ser realizado em duplas Alexandre Amory - Fernando Moraes - 05/out/2018 O simulador Modelsim está instalado no LINUX.

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 4-P Prototipagem com a placa de desenvolvimento DE2

Leia mais

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1

Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Tutorial para criação de circuitos digitais em VHDL no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de 2017 Essa apostila tem como

Leia mais

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1

Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Tutorial para criação de circuitos digitais utilizando diagrama esquemático no Quartus Prime 16.1 Felipe Valencia de Almeida Profa. Dra. Liria Sato Prof. Dr. Edson Midorikawa Versão 1.0 1º Semestre de

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando Diagrama Esquemático Professor Dr. Michael Klug 1 Utilização do software Download: https://fpgasoftware.intel.com/ Versão Lite: gratuita Para utilização

Leia mais

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg

Sistemas Digitais. Tutorial Quartus II - Aprendendo as Ferramentas Básicas. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg Sistemas Digitais Tutorial Quartus II - Aprendendo as Ferramentas Básicas Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) 1 Abrindo o Quartus... 2 - Inicializando... Selecione

Leia mais

LSCAD André Luiz junho ModelSim-Altera 6.6d

LSCAD André Luiz junho ModelSim-Altera 6.6d LSCAD André Luiz junho 2011 ModelSim-Altera 6.6d Motivação Diretório Criação de Projetos Compilação Simulação Roteiro Motivação Ferramenta independete Maior acurácia Ferramenta robusta First Look Diretório

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais

Introdução VHDL Parte 4 - Testbench

Introdução VHDL Parte 4 - Testbench Introdução VHDL Parte 4 - Testbench Prof. Mário Luiz Rodrigues mario.luiz@ifmg.edu.br Prof. Otávio Gomes otavio.gomes@ifmg.edu.br 1 library IEEE; use IEEE.std_logic_1164.all; entity portae is port( a:

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II CYCLONE IV 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela a seguir). 2) CLIQUE EM NEXT (tela a seguir) EMERSON

Leia mais

Passos Iniciais para simulação de um projeto utilizando portas lógicas

Passos Iniciais para simulação de um projeto utilizando portas lógicas Nome Hallan William Veiga Orientador: Joselito Anastácio Heerdt Passos Iniciais para simulação de um projeto utilizando portas lógicas Inicialmente deve ser executado o software Quartus II 10.1.Na tela

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 2-P Simulação gate-level de um somador completo com

Leia mais

PSI-3451 Projeto de CI Lógicos Integrados. Aula 3

PSI-3451 Projeto de CI Lógicos Integrados. Aula 3 PSI-3451 Projeto de CI Lógicos Integrados Aula 3 Esta segunda prática de VHDL pretende colocar o aluno em contato com mais algumas estruturas sintáticas da linguagem, além de praticar aspectos da codificação

Leia mais

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá

Departamento de Engenharia Elétrica e de Computação EESC-USP. Guia de Projetos VHDL utilizando o QUARTUIS II. Profa. Luiza Maria Romeiro Codá Departamento de Engenharia Elétrica e de Computação EESC-USP Guia de Projetos VHDL utilizando o QUARTUIS II Profa. Luiza Maria Romeiro Codá 1. Criando um novo projeto: 1.1 Iniciando o Quartus II, criando

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira e Daniela Claro Requisitos do ambiente Seguem abaixo os requisitos do ambiente.

Leia mais

Criando e Simulando Circuitos Digitais no Quartus II

Criando e Simulando Circuitos Digitais no Quartus II Criando e Simulando Circuitos Digitais no Quartus II 1. Introdução Altera Quartus II (QII) é um aplicativo de projeto de PLDs (Dispositivos lógicos programáveis) da Altera que permite ao desenvolvedor

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Exercícios de Laboratório 3

Exercícios de Laboratório 3 Tradução do Laboratory Exercise 3 disponível em Exercícios de Laboratório 3 Latches, Flip-Flops e Registradores Este exercício

Leia mais

ALTERA Quartus II. Manual

ALTERA Quartus II. Manual ALTERA Quartus II Manual 2014 O Quartus II é um ambiente de desenvolvimento integrado (IDE Integrated Development Environment), utilizado para o desenvolvimento de sistemas digitais utilizando FPGAs (Field

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor.

Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. TUTORIAL XILINX SOMADOR E SUBTRATOR Para se criar um subtrator e somador, necessitaremos de três componentes, o Somador de 8 bits, o multiplexador e inversor. Somador de 8 Bits Primeiramente, criaremos

Leia mais

Prática 2 Implementação de Circuitos Lógicos em FPGA

Prática 2 Implementação de Circuitos Lógicos em FPGA Nome: Professor: Turma: Data: Prática 2 Implementação de Circuitos Lógicos em FPGA O objetivo desta prática é apresentar a criação do arquivo de projeto, do arquivo de estímulos e a simulação de circuitos

Leia mais

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL

4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL 4.a Aula Prática Projeto de um Somador de 16 bits com a Linguagem VHDL Prof. Cesar da Costa VHDL é uma linguagem para descrever sistemas digitais utilizada universalmente. VHDL é proveniente de VHSIC Hardware

Leia mais

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools

TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools TUTORIAL DE INSTALAÇÃO E USO DO OWL-S COMPOSER 3.0 utilizando o Eclipse Galileo Modelling Tools Desenvolvido por: Manuele Ferreira, Fabrício Alves e Daniela Claro Requisitos do ambiente Seguem abaixo os

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Lógica Programável INE 5348 Aula 1-P Formas de implementação

Leia mais

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II

ROTEIRO 1 INTRODUÇÃO AO QUARTUS II ROTEIRO 1 INTRODUÇÃO AO QUARTUS II Prof. Dr. Amauri Amorin Assef *Departamento Acadêmico de Eletrotécnica/DAELT - UTFPR, Curitiba amauriassef@utfpr.edu.br 1. Introdução Cada circuito lógico projetado com

Leia mais

Exercícios de Laboratório 2

Exercícios de Laboratório 2 Tradução do Laboratory Exercise 2 disponível em Exercícios de Laboratório 2 Números e Displays Este é um exercício de criação

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais 23/abril/2019 Introdução à Linguagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar

Leia mais

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO

TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO TUTORIAL: TESTANDO O PROJETO TECLADO BÁSICO I Configuração do Hardware no Kit. 1-Abrir o Quartus II; 2-Fechar a janela "Getting Started with Quartus II, e abrir a janela do programador. Na barra de menus

Leia mais

VIVADO TUTORIAL 101: CADEADO DIGITAL

VIVADO TUTORIAL 101: CADEADO DIGITAL VIVADO TUTORIAL 101: CADEADO DIGITAL VERSÃO 1.0 - SISTEMAS DIGITAIS - Este tutorial inclui notas adicionais na margem esquerda da página (do tipo G(X.X)). Estas notas referram-se ao(s) passo(s) X.X do

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação

Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação 1 Laboratório sobre Implementação de Sistemas Digitais com HDLs Ferramentas de Captura e Validação Prática:

Leia mais

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL

Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Laboratórios Integrados I 1 Introdução ao desenho de circuitos digitais usando Xilinx WebPACK 4.1 e linguagem ABEL Introdução Este tutorial apresenta os principais passos associados à síntese de um circuito

Leia mais

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans

LABORG. Parte 1 Introdução à Simulação em VHDL. Ney Laert Vilar Calazans LABORG Parte 1 Introdução à Simulação em VHDL Ney Laert Vilar Calazans 12/março/2012 Introdução VHDL: Uma linguagem para descrever sistemas digitais Outras linguagens de descrição de hardware SystemC,

Leia mais

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL

FEDERAL UNIVERSITY OF RIO GRANDE DO SUL Tutorial ModelSim Workshop IEEE Circuits and Systems Society UFRGS Student Branch Bruno Zatt UFRGS - Porto Alegre BRAZIL Mentor Graphics ModelSim ModelSim é, atualmente, a ferramenta de simulação mais

Leia mais

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II

PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II PASSO A PASSO COMO CRIAR UM NOVO PROJETO EM SCHEMATIC NO SOFTWARE QUARTUS II 1) Após abrir o quartus II, clique em CREATE A NEW PROJECT (tela abaixo). Se estiver utilizando windows 7, execute como administrador.

Leia mais

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II

1 Práticas de Laboratório Construindo um Circuito TTL (Transistor-Transistor Logic) Introdução a ferramenta EDA Quartus II Índice 1 Práticas de Laboratório 7 1.1 Construindo um Circuito TTL (Transistor-Transistor Logic)................. 8 1.2 Introdução a ferramenta EDA Quartus II 9.1......................... 12 1 2 ÍNDICE

Leia mais

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011

Eletrônica de Potência I Prof. André Fortunato rev. 1-11/2011 Nome: Realizado em: / / Nome: Entregue em: / / Nome: EXPERIÊNCIA 3 Nome: NOTA: Recorte este cabeçalho e anexe ao seu relatório. Experiência 3 Retificador de Onda Completa Objetivo Nesta experiência ver

Leia mais

TUTORIAL PARA SIMULAÇÃO

TUTORIAL PARA SIMULAÇÃO TUTORIAL PARA SIMULAÇÃO Fernando Moraes Atualizado em 29/outubro/2012 O presente laboratório tem por objetivos: 1. Ilustrar o comportamento dos somadores vistos nas aulas teóricas 2. Introduzir as ferramentas

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

QUARTUS II DESENVOLVIMENTO

QUARTUS II DESENVOLVIMENTO QUARTUS II DESENVOLVIMENTO DE PROJETOS VIA ESQUEMÁTICO Versão 1.3 Revisão Dado Autor Versão Modificações 28/18/09 Prof. Ricardo 1.0 Versão inicial 29/07/10 Prof. Frank 1.1 Adaptação para Quartus II 9.1SP2

Leia mais

Usando o programa Altera Monitor

Usando o programa Altera Monitor Usando o programa Altera Monitor Na página da disciplina você encontra material de auxílio (em inglês) para os próximos laboratórios. Para informações sobre o processador Nios II consulte o tutorial Introduction

Leia mais

Guia de instalação do REIS

Guia de instalação do REIS Guia de instalação do REIS Este guia foi desenvolvido para explicar o processo de instalação e configuração do REIS em ambiente de produção. Organização do projeto: O projeto REIS é configurado em dois

Leia mais

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001

Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 Tutorial MAXPLUS II Altera Bruno Cozer Fev.2001 A) Conceitos básicos Esquemático e Simulação Como exemplo, implementaremos dois inversores em série que, dada uma entrada, terá que retornar na saída o mesmo

Leia mais

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006

DSP Builder - Altera. MO801 - Tópicos em Arquitetura e Hardware. Michele Tamberlini 05/2006 DSP Builder - Altera MO801 - Tópicos em Arquitetura e Hardware Michele Tamberlini 05/2006 DSP Builder Roteiro Introdução Funcionalidades MegaCore MATLAB / SIMULINK SOPC Builder Bibliotecas Introdução Algoritmos

Leia mais

Exercícios de Laboratório 1

Exercícios de Laboratório 1 Tradução do Laboratory Exercise 1 disponível em Exercícios de Laboratório 1 Switches (chaves), Luzes (LEDs) e Multiplexadores

Leia mais

INFRAESTRUTURA DE HARDWARE

INFRAESTRUTURA DE HARDWARE INFRAESTRUTURA DE HARDWARE LABORATÓRIOS 02: MODELAGEM DE UM COMPONENTE ADDER EM SYSTEMC 1. INTRODUÇÃO O objetivo deste laboratório é modelar o componente combinacional adder (adicionador) em SystemC. Um

Leia mais

Tutorial STAAD.Pro. 2. No menu seguinte, escolha a opção Add Beam para começar desenhando vigas e pilares e pressione Finish.

Tutorial STAAD.Pro. 2. No menu seguinte, escolha a opção Add Beam para começar desenhando vigas e pilares e pressione Finish. Tutorial STAAD.Pro 1. Abra o programa e selecione New Project. Em seguida, marque a opção Space para que o programa entenda que o usuário deseja criar uma estrutura tridimensional e prepare a área de trabalho

Leia mais

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE - UFRN DEPARTAMENTO DE INFORMÁTICA E MATEMÁTICA APLICADA DIMAP Disciplina: DIM0403 Laboratório de Circuitos Lógicos Professor: Sílvio Fernandes 08 Introdução

Leia mais

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPEN WATCOM: CRIANDO O PRIMEIRO PROGRAMA

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPEN WATCOM: CRIANDO O PRIMEIRO PROGRAMA LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPEN WATCOM: CRIANDO O PRIMEIRO PROGRAMA Prof. Dr. Daniel Caetano 2012-1 Visão Geral 1 Instalando o Open Watcom 2 Criando um Projeto 3 Continuando um Projeto

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Somador e subtrator de 8 bits

Somador e subtrator de 8 bits Somador e subtrator de 8 bits Nota: Para desenvolver o somador e subtrator de 8 bits na Plataforma Windows, utilize preferencialmente Windows XP ou Vista. Você poderá encontrar problemas durante algumas

Leia mais

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPENWATCOM: CRIANDO O PRIMEIRO PROGRAMA

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPENWATCOM: CRIANDO O PRIMEIRO PROGRAMA LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPENWATCOM: CRIANDO O PRIMEIRO PROGRAMA Prof. Dr. Daniel Caetano 2011-2 Visão Geral 1 Instalando o OpenWatcom 2 Criando um Projeto 3 Continuando um Projeto

Leia mais

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL

EPUSP PCS 3335/3635 Laboratório Digital. Circuito em VHDL Circuito em VHDL Versão 2017 RESUMO Esta experiência tem como objetivo um contato inicial com o desenvolvimento do projeto de um circuito digital simples em VHDL e sintetizado para uma placa de desenvolvimento

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais 1 Laboratório sobre Introdução a Sistemas de CAD, Projeto com Esquemáticos e Circuitos Combinacionais

Leia mais

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL

Plano de Aula 26/8/13. VHDL - Visão Geral. Obje%vos: VHDL - Visão Geral. Descrição de circuito digital em VHDL Instituto Federal de Santa Catarina Área de Telecomunicações SST20707 Síntese de Sistemas de Telecomunicações Prof. Roberto de Matos viso de direitos utorais: Transparências baseadas no trabalho do Prof.

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

O JasperReports Studio é uma ferramenta de software que oferece um ambiente visual para criar relatórios para a plataforma Java. É open source.

O JasperReports Studio é uma ferramenta de software que oferece um ambiente visual para criar relatórios para a plataforma Java. É open source. Introdução ao JasperReports O JasperReports Studio é uma ferramenta de software que oferece um ambiente visual para criar relatórios para a plataforma Java. É open source. Para efetuar o seu download acesse

Leia mais

Capítulo 2 Conhecendo o SIMULINK

Capítulo 2 Conhecendo o SIMULINK Capítulo 2 Conhecendo o SIMULINK 2.1 - Acessando o SIMULINK Para acessar o SIMULINK deve-se primeiro abrir o MATLAB, pois apesar de ser uma aplicação específica, este não trabalha independente e utiliza

Leia mais

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados

Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI. PSI Projeto de Sistemas Integrados Escola Politécnica da Universidade de São Paulo Departamento de Engenharia de Sistemas Eletrônicos - PSI PSI-2553- Projeto de Sistemas Integrados Experiência 3: Utilizando Interrupção no Plasma (Parte

Leia mais

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra

Aula pra tica 1. Projeto e Simulaça o no Agilent Advanced Design System. PSI3483 Ondas Eletromagnéticas em meios guiados. Prof.ª Fatima Salete Correra 1 Aula pra tica 1 Projeto e Simulaça o no Agilent Advanced Design System PSI3483 Ondas Eletromagnéticas em meios guiados Prof.ª Fatima Salete Correra Atividades da aula Criando um novo espaço de trabalho

Leia mais

Como criar um menu pop-up no Dreamweaver

Como criar um menu pop-up no Dreamweaver Como criar um menu pop-up no Dreamweaver Introdução: Seguindo o crescimento do conteúdo em sites de Internet, a necessidade por uma fácil navegação nas páginas cresce igualmente. Um menu pop-up pode ser

Leia mais

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START.

(Semana 11) L E D s. Entrada (hexa) Passe o simulador para modo Simulation e carregue em START. hexadecimal Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 28/29 Sistemas digitais combinatórios e sequenciais Guião 8 26 a 3 novembro de 28 (Semana ) Objectivos Com este trabalho

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017

Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 Laboratório de Introdução à Arquitetura de Computadores IST - Taguspark 2017/2018 Introdução aos sistemas digitais Guião 2 2 a 6 outubro de 2017 (Semana 3) 1 Objectivos Com este trabalho pretende-se que

Leia mais

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas

Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Laboratório sobre Implementação de Sistemas Digitais com VHDL - Multiplicação por somas sucessivas 1 Laboratório sobre Implementação de Sistemas Digitais com VHDL Multiplicação por somas sucessivas Prática:

Leia mais

Índice. 1. Introdução Instalação: Eclipse e Android Primeira aplicação em Android... 11

Índice. 1. Introdução Instalação: Eclipse e Android Primeira aplicação em Android... 11 Índice 1. Introdução... 03 2. Instalação: Eclipse e Android... 04 3. Primeira aplicação em Android... 11 1. Introdução Android trata-se de um sistema operacional baseado em Linux. No dia 05 de novembro

Leia mais

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão.

O diagrama ASM contém dois elementos básicos: o bloco de estado e o bloco de decisão. 14 3.2 Projeto da Unidade de Controle (VHDL) 3.2.1 Diagrama ASM (Algorithmic State Machine) ASM é um fluxograma através do qual se representa a seqüência de ações que a unidade de controle de um sistema

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

MANUAL DE SISTEMA SPEED CASH. Manual de Download e Instalação do Aplicativo Speed Cash. Technology Brazil. Data de Elaboração: maio/2010

MANUAL DE SISTEMA SPEED CASH. Manual de Download e Instalação do Aplicativo Speed Cash. Technology Brazil. Data de Elaboração: maio/2010 MANUAL DE SISTEMA SPEED CASH Technology Brazil Data de Elaboração: maio/2010 Versão do Documento: 1.0 1 ÍNDICE 1. OBJETIVO DO SISTEMA...3 2. OBJETIVO DO MANUAL...3 3. INSTRUÇÕES PARA UTILIZAÇÃO DO SPEED

Leia mais

Tutorial do Quartus II

Tutorial do Quartus II Tutorial do Quartus II Introdução A seguir, você tomará contato com a ferramenta de projeto digital Quartus II, da Altera Corporation, que além de permitir descrever e simular circuitos lógicos complexos,

Leia mais

a b s cout Tabela 1: tabela verdade do meio somador

a b s cout Tabela 1: tabela verdade do meio somador APO7-29/04/10 Turma A Lisardo Sallaberry Kist 159839 César Garcia Daudt 180164 Introdução Nesta aula os alunos foram introduzidos ao programa de MaxPlus II. Devia-se executar a montagem de um meio-somador

Leia mais

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1

Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Tutorial para Criar e Simular Circuitos Digitais no Altera Quartus (R) II versão 9.1 Versão 1.1 Laboratório Digital Marlim Pereira Menezes Profa. Dra. Liria M. Sato Prof. Dr. Edson Midorikawa Departamento

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 12: Título: Sumário: Linguagens de Descrição e Simulação de Circuitos Digitais (apoio ao laboratório) Linguagens

Leia mais

Introdução à Engenharia ENG1000

Introdução à Engenharia ENG1000 Introdução à Engenharia ENG1000 Aula 04 Introdução ao Visual Studio 2010 Prof. Augusto Baffa 1. Execute o MS-Visual Studio 2010. Experimente o caminho: Start All Programs Microsoft

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

Laboratório 1 Topologias de Rede / Utilizando o Packet Tracer

Laboratório 1 Topologias de Rede / Utilizando o Packet Tracer Rede de Computadores Laboratório 1 Topologias de Rede / Utilizando o Packet Tracer Objetivo Demonstrar o funcionamento da ferramenta Packet Tracer para simulações de rede e utilizá-la para descrever o

Leia mais

Ambiente de Software EDA (Electronic Design Automation)

Ambiente de Software EDA (Electronic Design Automation) Ambiente de Software EDA (Electronic Design Automation) Este capítulo apresenta uma nova metodologia de projeto de circuitos digitais. Há uma introdução ao software Quartus II com desenvolvimento de alguns

Leia mais

Projeto com Linguagens de Descrição de Hardware

Projeto com Linguagens de Descrição de Hardware Projeto com Linguagens de Descrição de Hardware Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de um circuito digital simples com o uso de uma linguagem de descrição de hardware.

Leia mais

Visual Studio+SQL Server Express LocalDB ANTONIO LUIS

Visual Studio+SQL Server Express LocalDB ANTONIO LUIS Visual Studio+SQL Server Express LocalDB ANTONIO LUIS OBJETIVO Mostrar o processo de criação de um banco de dados usando SQL Server Express LocalDB. Versão do SQL Server voltada pra desenvolvedores, e

Leia mais

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL

EPUSP PCS 2355 Laboratório Digital. Contadores em VHDL Contadores em VHDL Versão 2012 RESUMO Esta experiência consiste no projeto e implementação de circuitos contadores com o uso da linguagem de descrição de hardware VHDL. São apresentados aspectos básicos

Leia mais

Groupware Workbench Tutorial de instalação da Aplicação-Exemplo no Eclipse

Groupware Workbench Tutorial de instalação da Aplicação-Exemplo no Eclipse Groupware Workbench Tutorial de instalação da Aplicação-Exemplo no Eclipse Neste tutorial foram utilizadas as seguintes ferramentas: Eclipse versão 3.4.1 disponível em http://www.eclipse.org o Obs: Esta

Leia mais

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO

GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO GUIA DE UTILIZAÇÃO DO VIVADO DESIGN SUITE WEBPACK: INTRODUÇÃO AO AMBIENTE DO PROJETO VERSÃO 1.0 - SISTEMAS DIGITAIS - Aleksandar Ilic - Nuno Roma O programa Vivado WebPack da Xilinx é um ambiente integrado

Leia mais

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPEN WATCOM: CRIANDO O PRIMEIRO PROGRAMA

LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPEN WATCOM: CRIANDO O PRIMEIRO PROGRAMA LÓGICA DE PROGRAMAÇÃO PARA ENGENHARIA TUTORIAL OPEN WATCOM: CRIANDO O PRIMEIRO PROGRAMA Prof. Dr. Daniel Caetano 2012-1 Visão Geral 1 Instalando o Open Watcom 2 Criando um Projeto 3 Continuando um Projeto

Leia mais

Instalação do Componente TcomPort

Instalação do Componente TcomPort Instalação do Componente TcomPort O componente para porta serial TcomPort, pode ser baixado na página www.jclima.tk. Estando na página principal, selecione o link para a página downloads. Selecione então

Leia mais

Governo do Estado do Amapá Centro de Gestão da Tecnologia da Informação - PRODAP. Google Chrome

Governo do Estado do Amapá Centro de Gestão da Tecnologia da Informação - PRODAP. Google Chrome Prezados(as), Governo do Estado do Amapá Devido a reestruturação e ampliação da camada de segurança dos serviços e acessos fornecidos pelo PRODAP, é necesário a instalação de um certificado nos navegadoras

Leia mais

INTRODUÇÃO AO SIMUL8

INTRODUÇÃO AO SIMUL8 INTRODUÇÃO AO SIMUL8 Prof. Dr. Rui Carlos Botter SOFTWARE PARA SIMULAÇÃO DISCRETA Março de 2015 Procure em todos os programas o SIMUL e abra o software A versão estudantil é mais restrita, mas permitirá

Leia mais

TUTORIAL DE INSTALAÇÃO DO AMBIENTE DE DESENVOLVIMENTO ANDROID NO UBUNTU

TUTORIAL DE INSTALAÇÃO DO AMBIENTE DE DESENVOLVIMENTO ANDROID NO UBUNTU TUTORIAL DE INSTALAÇÃO DO AMBIENTE DE DESENVOLVIMENTO ANDROID NO UBUNTU Wellyson Vieira Dias 1. Introdução: 1.1. Componentes do ambiente de desenvolvimento: - IDE Android Studio com SDK. - API Level 19

Leia mais

2ª Prática Instrumentação Virtual com o Software LabVIEW

2ª Prática Instrumentação Virtual com o Software LabVIEW 1 2ª Prática Instrumentação Virtual com o Software LabVIEW OBJETIVO: 1. Se familiarizar com o ambiente de simulação do LabVIEW; 2. Habilidade para usar a instrumentação virtual do LabVIEW; 3. Adquirir

Leia mais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais

Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Departamento de Engenharia Electrotécnica e de Computadores Instituto Superior Técnico Universidade Técnica de Lisboa Sistemas Digitais Introdução ao Ambiente de Projecto da Xilinx Abílio Parreira, Horácio

Leia mais

Criação de componentes no Proteus

Criação de componentes no Proteus Criação de componentes no Proteus O programa Isis permite criar componentes diretamente na tela do editor de esquemas. Neste exemplo, trataremos de criar o DS1023 da Maxim. Esta é a configuração dos pinos

Leia mais

VHDL é uma linguagem bastante complexa!

VHDL é uma linguagem bastante complexa! arquitetura de computadores UTFPR DAELN Engenharia de Computação prof. Juliano µprocessador 1 Tutorial de Introdução ao VHDL Uma HDL é uma Hardware Definition Language, ou seja, não é uma linguagem de

Leia mais