9. Portas lógicas. 9. Portas lógicas. Escola Superior de Tecnologia e Gestão de Felgueiras - Politécnico do Porto

Tamanho: px
Começar a partir da página:

Download "9. Portas lógicas. 9. Portas lógicas. Escola Superior de Tecnologia e Gestão de Felgueiras - Politécnico do Porto"

Transcrição

1 Sumário: Projecto de um circuito digital Portas lógicas universais Famílias lógicas LEI FÍSICA 1 Projecto de um circuito digital: 1. Traduzir o problema numa tabela de verdade 2. Obter da tabela, a função numa das formas canónicas 3. Simplificar pelo método mais adequado (Mapas de Karnaugh; Teoremas; Propriedades; etc.) 4. Construir o circuito com os dispositivos apropriados (Contactos, Interruptores, Díodos, Transístores, Portas lógicas integradas) LEI FÍSICA 2 1

2 Funções lógicas elementares: IGUALDADE PRODUTO ou E (AND) NEGAÇÃO ou NÃO (NOT) NÃO E (NAND) UNIÃO ou OU (OR) OU EXCLUSIVO (EXCLUSIVE OR) NÃO OU (NOR) NÃO OU EXCLUSIVO (EXCLUSIVE NOR) LEI FÍSICA 3 Funções lógicas universais NOR e NAND: Em geral é mais simples construir as funções NAND e NOR. Por isso, estas funções são consideradas funções universais. Assim sendo é conveniente, a fim de usarmos o menor número de blocos, transformar a função depois de simplificada, de maneira a usarmos portas de um só tipo. Função NAND NOR NOT A F F = A F = A F = A LEI FÍSICA 4 2

3 Funções lógicas universais NOR e NAND: Função NAND NOR AND F = A F = A = A F = A+ = A LEI FÍSICA 5 Funções lógicas universais NOR e NAND: Função NAND NOR OR F = A+ F = A = A+ F = A+ = A+ LEI FÍSICA 6 3

4 Constituição dos circuitos integrados que contêm portas lógicas: Cada circuito integrado é constituído, geralmente, por várias portas de um mesmo tipo. Alimentação D A SN 7402 C Terra LEI FÍSICA 7 Constituição dos circuitos integrados que contêm portas lógicas: Os componentes principais que constituem as portas lógicas são os transístores bipolares (família lógica TTL) ou os transístores de efeito de campo Fet (família lógica CMOS). Estes transístores comportam-se como interruptores electrónicos que ou estão em condução (1) ou estão ao corte (0). A figura apresenta um exemplo de um circuito eléctrico (porta lógica que implementa a função AND), utilizando a tecnologia TTL. LEI FÍSICA 8 4

5 Famílias lógicas TTL: A família TTL é principalmente reconhecida pelo facto de ter duas séries que começam pelos números 54 para os componentes de uso militar e 74 para os componentes de uso comercial. TTL 74L de aixa Potência TTL 74H de Alta Velocidade TTL 74S Schottky TTL 74LS Schottky de aixa Potência (LS-TTL) TTL 74AS Schottky Avançada (AS-TTL) TTL 74ALS- TTL Schottky Avançada de aixa Potência LEI FÍSICA 9 Famílias lógicas CMOS: Séries CMOS: 4000/14000 (foram as primeiras séries da família CMOS) 74C (compatível, pino a pino e função por função, com os dispositivos TTL) 74HC (CMOS de Alta Velocidade) 74HCT (os dispositivos 74HCT - CMOS de Alta Velocidade - podem ser alimentados directamente por saídas de dispositivos TTL) LEI FÍSICA 10 5

6 Famílias lógicas TTL tensões dos níveis lógicos: Faixas de tensão correspondentes aos níveis lógicos de entrada: entre 2 (v) e 5 (v), nível lógico 1; entre 0 (v) e 0,8 (v), nível lógico 0; entre 0,8 (v) e 2 (v) o componente não reconhece os níveis lógicos 0 e 1, devendo portanto, ser evitada em projectos de circuitos digitais. Faixas de tensão correspondentes aos níveis lógicos de saída: entre 2,4 (v) e 5 (v), nível lógico 1; entre 0,3 (v) e 0,5 (v), nível lógico 0; entre 0 (v) e 0,3 (v) e ainda entre 0,5 (v) e 2,4 (v) o componente não reconhece os níveis lógicos 0 e 1, devendo portanto, ser evitada em projectos de circuitos digitais. LEI FÍSICA 11 Famílias lógicas TTL tensões dos níveis lógicos: Tensões de entrada V ILMIN V ILMAX V IHMIN V IHMAX 0,0 (v) 0,8 (v) 2,0 (v) 5,0 (v) V OHMAX V OHMIN V OLMIN Tensões de saída 0,3 (v) V OL V MAX OL MIN V OLMAX 0,8 (v) V OHMIN VOH MAX 2,4 (v) 5,0 (v) VIL MIN VIL MAX V IH MIN LEI FÍSICA 12 6

7 Famílias lógicas CMOS tensões dos níveis lógicos: Faixa de alimentação que se estende de 3 (v) a 15 (v) ou 18 (v), dependendo do modelo. A família CMOS possui também, uma determinada faixa de tensão para representar os níveis lógicos de entrada e de saída, porém estes valores dependem da tensão de alimentação e da temperatura ambiente. LEI FÍSICA 13 Famílias lógicas TTL versus CMOS: A tecnologia CMOS oferece vantagens quanto á tensão de alimentação, temperatura de funcionamento, imunidade ao ruído, potência dissipada,... A tecnologia TTL com é mais antiga, encontra-se mais desenvolvida, apresentando um maior número de circuitos. LEI FÍSICA 14 7

8 Famílias lógicas Data sheets: Identificação do CI: SN54HTCT00 / SN74HCT00 4 portas lógicas NAND de duas entradas Identificação dos vários pinos do CI: VCC; GND; entradas e saídas das várias portas lógicas Operação lógica efectuada pelo CI: Efectua a operação ooleana: Y = A Tabela de verdade CI: Lógica positiva: H 1 e L 0 Lógica negativa: H 0 e L 1 Diagrama lógico do CI LEI FÍSICA 15 Famílias lógicas Data sheets: Operações de funcionamento recomendadas do CI: VCC, V IH, V IL, V OH e V OL LEI FÍSICA 16 8

9 Famílias lógicas Data sheets: Tempo de resposta do CI: Tempos de atraso,... LEI FÍSICA 17 9

Implementação de Funções Lógicas com Circuitos Integrados

Implementação de Funções Lógicas com Circuitos Integrados Implementação de Funções Lógicas com Circuitos Integrados Simbologias: Clássica e IEEE/ANSI Famílias Lógicas Existem várias tecnologias para fabricar circuitos integrados digitais, conhecidas por famílias

Leia mais

Funções Lógicas I. José Costa. Introdução à Arquitetura de Computadores. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Funções Lógicas I. José Costa. Introdução à Arquitetura de Computadores. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico Funções Lógicas I José Costa Introdução à Arquitetura de Computadores Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2013-10-02 José Costa (DEI/IST) Funções Lógicas I 1 Sumário

Leia mais

8.4) Características da Série TTL Existem diversas subfamílias com diferentes características de capacidade, velocidade e potência TTL PADRÃO, 74 Não são mais indicados, outros dispositivos têm desempenho

Leia mais

Sistema Decimal - Permite representar qualquer quantidade por intermédio de uma soma ponderada de potências de base 10.

Sistema Decimal - Permite representar qualquer quantidade por intermédio de uma soma ponderada de potências de base 10. 1 Coelh ho, J.P. @ Sistem mas Digita ais : Y20 Sistemas de Numeração e Códigos Binários sistema de numeração que permitia, através de dez símbolos distintos (algarismos), representar uma determinada grandeza

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes UNVERSDADE TECNOLÓGCA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMCO DE ELETROTÉCNCA ELETRÔNCA DGTAL - ET75C - Profª Elisabete N Moraes ROTERO 3.3 NÍVES DE ENTRADA E SAÍDA DAS V E DOS CS DGTAS 2º semestre 2015

Leia mais

CI's das família TTL e CMOS

CI's das família TTL e CMOS Aula 04 CI's das família TTL e CMOS Prof. Tecgº Flávio Murilo 30/04/13 1 Famílias lógicas O que diferencia as famílias lógicas é o material no qual os circuitos integrados são construídos. RTL - Lógica

Leia mais

Transistor como chave. DP - Exercícios

Transistor como chave. DP - Exercícios Transistor como chave. DP - Exercícios Introdução : Um transistor pode operar como uma chave eletrônica quando opera nas regiões do corte e da saturação. Dependendo da aplicação dessa chave alguns cuidados

Leia mais

Famílias Lógicas I Características Gerais

Famílias Lógicas I Características Gerais Famílias Lógicas I Características Gerais SISTEMAS DIGITAIS II Prof. Marcelo Wendling Nov/10 Texto base: Sistemas Digitais Tocci (7ª edição). Capítulo 8. 1 Introdução Com a vasta utilização dos Circuitos

Leia mais

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5

SOLUÇÃO : 2) Converter os números da base 10 para a base 5. N1 = (134) 10 N2 = (245) 10. Resposta : N1 = (1014) 5 N2 = (1440) 5 LISTA D XRCÍCIOS D N-671 2004 Matéria da prova é referente a toda à matéria. As listas de exercícios aplicadas durante as aulas são parte integrante desta lista de exercícios, além dos exercícios do livro

Leia mais

Prof. Sérgio Rebelo. Curso Profissional Técnico de Eletrónica, Automação e Comando

Prof. Sérgio Rebelo. Curso Profissional Técnico de Eletrónica, Automação e Comando Prof. Sérgio Rebelo Curso Profissional Técnico de Eletrónica, utomação e Comando Módulo I.I Circuitos Lógicos 2 Carga Horária: 25 horas Objetivos: Álgebra de oole e funções lógicas: Reconhecer o estado

Leia mais

Pedroni Capítulo 10. Prof. Odilson Tadeu Valle

Pedroni Capítulo 10. Prof. Odilson Tadeu Valle Famílias Lógicas Pedroni Capítulo 10 Prof. Odilson Tadeu Valle Instituto Federal de Santa Catarina IFSC Campus São José odilson@ifsc.edu.br 1/45 Conteúdo programático 1 Introdução 2 Lógica Diodo-Transistor

Leia mais

Eletrônica (MOS) Prof. Manoel Eusebio de Lima

Eletrônica (MOS) Prof. Manoel Eusebio de Lima Eletrônica (MOS) Prof. Manoel Eusebio de Lima Tecnologias de Circuitos Integrados MOS (Metal - Oxide - Silicon) nmos (N-type MOS) pmos (P-type MOS) CMOS (Complementary - type MOS) Transistor n-mos Em uma

Leia mais

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 2 Famílias Lógicas Prof. Antonio Heronaldo de Sousa Agenda - Contextualização - Elementos Básicos (Fonte de Tensão, Chaves e LEDs) - O Transistor - Sinais de Entrada e Saída

Leia mais

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº2

Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá PRÁTICA Nº2 Departamento de Engenharia Elétrica e de Computação SEL 384 Laboratório de Sistemas Digitais Profa. Luiza Maria Romeiro Codá PRÁTCA Nº2 CARACTERÍSTCAS ELÉTRCAS DOS Cs 1. Objetivos: Aprender quais os cuidados

Leia mais

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMTOS DE TECNOLOGIA ELEMTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN TRANSISTORES CMOS PORTAS TRI-STATE TEMPOS DE PROPAGAÇÃO LÓGICA POSITIVA

Leia mais

UFJF FABRICIO CAMPOS

UFJF FABRICIO CAMPOS Cap 8 ) Famílias Lógicas e Circuitos Integrados Estudaremos o funcionamento interno dos dispositivos de cada Família Lógica Os CIs são constituídos pelo conjunto de diversas portas digitais integradas

Leia mais

Famílias de Circuitos Lógicos

Famílias de Circuitos Lógicos Famílias de Circuitos Lógicos Nikolas Libert Aula 3 Eletrônica Digital ET52C Tecnologia em Automação Industrial Famílias de Circuitos Lógicos Famílias de Circuitos Lógicos As características construtivas

Leia mais

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa

ELD - Eletrônica Digital Aula 2 Famílias Lógicas. Prof. Antonio Heronaldo de Sousa ELD - Eletrônica Digital Aula 2 Famílias Lógicas Prof. Antonio Heronaldo de Sousa Agenda - Contextualização - Elementos Básicos (Fonte de Tensão, Chaves e LEDs) - O Transistor - Sinais de Entrada e Saída

Leia mais

SÉRIE DE PROBLEMAS: CIRCUITOS COMBINACIONAIS BÁSICOS.

SÉRIE DE PROBLEMAS: CIRCUITOS COMBINACIONAIS BÁSICOS. A 1. Determine as margens de ruído dos níveis baixo e alto para a série HC da família CMOS, cujos parâmetros são os seguintes, quando alimentada com uma fonte de tensão de 5 Volt: Tensão mínima na saída

Leia mais

8.7) Tecnologia MOS. MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor

8.7) Tecnologia MOS. MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor UFJF Fabrício FABRICIO Campos CAMPOS 8.7) Tecnologia MOS MOS metal-óxido-semicondutor: um eletrodo de metal sobre um óxido isolante sobre um substrato de semicondutor MOSFET - Metal Oxide Semiconductor

Leia mais

- Eletrônica digital - Capítulo 2 Circuitos Combinacionais

- Eletrônica digital - Capítulo 2 Circuitos Combinacionais - Eletrônica digital - Capítulo 2 Circuitos Combinacionais Introdução Lógica para tomada de decisões George Boole (1854): Uma investigação das leis do pensamento Termo álgebra booleana Relacionamento entre

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2015 1. Caracterização Elétrica e Temporal 1.1. Portas Lógicas e Circuitos Integrados Digitais As funções lógicas podem ser implementadas de maneiras diversas, sendo

Leia mais

CARACTERIZAÇÃO DE PORTAS LÓGICAS

CARACTERIZAÇÃO DE PORTAS LÓGICAS CARACTERIZAÇÃO DE PORTAS LÓGICAS E.T.M./2001 (revisão) R.C.S./2002 (revisão) E.T.M./2003 (revisão da parte experimental) E.T.M./2004 (revisão) E.T.M. e R.C.S./2005 (reorganização) RESUMO Esta experiência

Leia mais

CAPÍTULO 3 - PORTAS LÓGICAS

CAPÍTULO 3 - PORTAS LÓGICAS CAPÍTULO 3 - PORTAS LÓGICAS 1- OBJETIVO : Oferecer o primeiro contato com os circuitos integrados da família TTL e a análise do funcionamento das portas lógicas básicas. 2- INTRODUÇÃO : Nesta aula analisaremos

Leia mais

Inversor CMOS. Bloco básico em circuitos digitais. Potência dissipada em regime estático é (praticamente) nula

Inversor CMOS. Bloco básico em circuitos digitais. Potência dissipada em regime estático é (praticamente) nula Inversor CMOS 5 V X X X X Bloco básico em circuitos digitais Potência dissipada em regime estático é (praticamente) nula source e sinking podem ser dimensionados tamanho dos dispositivos logic switching

Leia mais

Introdução aos Sistemas Digitais

Introdução aos Sistemas Digitais Introdução aos Sistemas Digitais LETI, LEE (2014/15 1º Sem.) João Paulo Carvalho joao.carvalho@inesc-id.pt Isto (ainda) não são sistemas digitais... Um interruptor (SPST- Single Pole, Single Throw) e uma

Leia mais

ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS

ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS 1.1- Introdução ESCOLA DE EDUCAÇÃO PROFISSIONAL SENAI PLÍNIO GILBERTO KRÖEFF FAMÍLIAS LÓGICAS Estudamos até o momento as diversas operações lógicas sem nos preocuparmos muito com os elementos utilizados

Leia mais

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes

UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes 9/3/25 UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C - Profª Elisabete N Moraes LAB MANUSEIO DE CI S DIGITAIS & OPERAÇÕES E FUNÇÕES LÓGICAS

Leia mais

Sistemas Digitais Curso Profissional de Eletrónica, Automação e Comando

Sistemas Digitais Curso Profissional de Eletrónica, Automação e Comando 1. Circuitos Lógicos 1.1. Sistemas digitais Um sistema é um conjunto de partes que se inter relacionam funcionando como um todo, reagem a estímulos externos e em função destes geram saídas, pode-se comparar

Leia mais

PCS3515 Sistemas Digitais. 04-Famílias Lógicas e Lógica CMOS

PCS3515 Sistemas Digitais. 04-Famílias Lógicas e Lógica CMOS PCS3515 Sistemas Digitais 04-Famílias Lógicas e Lógica CMOS Capítulo 3 livro texto Com apoio do material dos Prof. Simplício, M Tulio e Cintia 2018 /1 Objetivos Parte 1 Representação física dos níveis

Leia mais

Professor João Luiz Cesarino Ferreira CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO

Professor João Luiz Cesarino Ferreira CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO CURSO TÉCNICO DE ELETRÔNICA 4 MÓDULO 2016 1 Famílias lógicas Definição Entende - se por famílias de circuitos lógicos, os tipos de estruturas internas que nos permitem a confecção destes blocos em circuitos

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2014 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

Sistemas Digitais / Sistemas Digitais I 7 Famílias Lógicas

Sistemas Digitais / Sistemas Digitais I 7 Famílias Lógicas Os Sistemas Digitais são constituídos a partir de portas. O principal factor determinante da velocidade com que um Sistema Digital pode funcionar é a velocidade com que operam as portas. O factor mais

Leia mais

Sistemas Digitais Elementos Básicos de Tecnologia

Sistemas Digitais Elementos Básicos de Tecnologia Sistemas Digitais Elementos Básicos de Tecnologia João Paulo Baptista de Carvalho (Prof. Auxiliar do IST) joao.carvalho@inesc.pt Portas Lógicas O interesse da matéria que se tem vindo a analisar reside

Leia mais

EXPERIMENTO 2: Portas Lógicas

EXPERIMENTO 2: Portas Lógicas DEE - Departamento de Engenharia Elétrica Laboratório de Circuitos Digitais I ELE 1065 EXPERIMENTO 2: Portas Lógicas OBS: A partir deste experimento se faz necessário levar o pré-lab. Pode-se utilizar

Leia mais

Eletrônica Digital. Prof. Arthur Braga

Eletrônica Digital. Prof. Arthur Braga Eletrônica Digital Prof. Arthur Braga Tópicos Transistor Bipolar Características Básicas de CIs Digitais Terminologia de CIs Digitais A Família Lógica TTL Circuito Lógico Básico NAND TTL Ações de absorção

Leia mais

Aula 1. Funções Lógicas. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 1. Funções Lógicas. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula 1 Funções Lógicas SEL 0414 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Representação Numérica: l Utilizada na representação de alguma grandeza física l Pode ser Analógica ou Digital

Leia mais

Capítulo 4 Circuitos Lógicos Combinacionais

Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Conteúdo Simplificação de circuitos lógicos algebricamente Projeto circuitos lógicos combinacionais Mapas de Karnaugh Portas OR-exclusiva e NOR-exclusiva Características

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2015 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

Códigos, Portas Lógicas e Comportamento Elétrico

Códigos, Portas Lógicas e Comportamento Elétrico Códigos, Portas Lógicas e Comportamento Elétrico Prof. Ohara Kerusauskas Rayel Disciplina de Eletrônica Digital - ET75C Curitiba, PR 26 de março de 2015 1 / 32 Códigos Código: Números, letras ou palavras

Leia mais

PCS 3115 (PCS2215) Objetivos Parte 1

PCS 3115 (PCS2215) Objetivos Parte 1 PCS 3115 (PCS2215) Sistemas Digitais I Módulo 04 Tecnologia CMOS Prof. Dr. Marcos. Simplicio Jr. versão: 3.1 (Jan/2018) Nota: as imagens de Pokémons que aparecem nesta aula são meramente ilustrativas.

Leia mais

Revisão: sist. combinacional x sist. sequencial

Revisão: sist. combinacional x sist. sequencial UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 2- ÁLGERA DE OOLE Curitiba, 10 março de 2017. Revisão:

Leia mais

Realização física de circuitos lógicos

Realização física de circuitos lógicos Realização física de circuitos lógicos Circuitos integrados digitais Famílias lógicas Níveis de tensão Atrasos Lógica positiva, negativa e de polaridade Realização usando ROMs 2 1 Acções e processamento

Leia mais

Parte 2 CIRCUITOS COMBINACIONAIS

Parte 2 CIRCUITOS COMBINACIONAIS 1 DEFINIÇÕES Parte 2 CIRCUITOS COMBINACIONAIS DEFINIÇÕES 09/08/2017 1 09/08/2017 2 1 DEFINIÇÕES Formalismo matemático 1 DEFINIÇÕES Tipos de lógica binária Lógica booleana Dois valores Lógica binária Escopo

Leia mais

4.9 Características Básicas dos CIs Digitais

4.9 Características Básicas dos CIs Digitais CIs digitais são uma coleção de resistores, diodos e transistores fabricados em um pedaço de material semicondutor (geralmente silício), denominado substrato, comumente conhecido como chip. CIs digitais

Leia mais

PCS 3115 (PCS2215) Sistemas Digitais I. Tecnologia CMOS. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018)

PCS 3115 (PCS2215) Sistemas Digitais I. Tecnologia CMOS. Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018) PCS 3115 (PCS2215) Sistemas Digitais I Tecnologia CMOS Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Adaptado por Glauber De Bona (2018) Nota: as imagens de Pokémons que aparecem nesta aula

Leia mais

LABORATÓRIO DE ELETRÔNICA DIGITAL

LABORATÓRIO DE ELETRÔNICA DIGITAL UFPA / ITEC / FEE LABORATÓRIO DE ELETRÔNICA DIGITAL Professor: Daniel Cardoso Circuitos Integrados e Famílias Lógicas TTL e CMOS A implementação de circuitos lógicos com dispositivos discretos (diodos,

Leia mais

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI

Eletrônica Digital. Circuitos Combinacionais FACULDADE FUCAPI FACULDADE FUCAPI Eletrônica Digital Circuitos Combinacionais, M.Sc. Doutorando em Informática (UFAM) Mestre em Engenharia Elétrica (UFAM) Engenheiro de Telecomunicações (FUCAPI) Famílias Lógicas 2 Famílias

Leia mais

Universidade do Minho Departamento de Electrónica Industrial. Sistemas Digitais. Exercícios de Apoio - II. Famílias Lógicas

Universidade do Minho Departamento de Electrónica Industrial. Sistemas Digitais. Exercícios de Apoio - II. Famílias Lógicas Universidade do Minho Departamento de Electrónica Industrial Sistemas Digitais Exercícios de Apoio - II Famílias Lógicas - Uma família define o sinal LOW na gama entre 0.0-0.8 V e o sinal HIGH na gama

Leia mais

PRÁTICA: 1) Levantamento das características do CI: Escolher um dos CIs acima e responder as questões a seguir em relação a ele:

PRÁTICA: 1) Levantamento das características do CI: Escolher um dos CIs acima e responder as questões a seguir em relação a ele: 1) PRÁTICA DE LABORATÓRIO MATERIAL: CI s: 7400, 7402, 7404, 7410, 7420 PRÁTICA: 1) Levantamento das características do CI: Escolher um dos CIs acima e responder as questões a seguir em relação a ele: Nome

Leia mais

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo:

Introdução aos Trabalhos de Laboratório (Hardware/Software) Grupo: Trabalho TP Trabalho Prático Introdução aos Trabalhos de Laboratório (Hardware/Software) Turma: Grupo: I Considere um circuito com o seguinte diagrama lógico: A B G C F a) Com o auxílio do software Xilinx

Leia mais

INVERSOR LÓGICO INTRODUÇÃO TEÓRICA. Para a tecnologia TTL esses valores são bem definidos: Nível lógico 1 = + 5V Nível lógico 0 = 0v

INVERSOR LÓGICO INTRODUÇÃO TEÓRICA. Para a tecnologia TTL esses valores são bem definidos: Nível lógico 1 = + 5V Nível lógico 0 = 0v Invasor Lógico INVERSOR LÓGICO OBJETIVOS: a) Entender o significado de compatível com TTL ; b) Aprender como interpretar especificações das folhas de dados (Data Book); c) Identificar a representação eletrônica

Leia mais

Circuitos Lógicos Combinacionais Capítulo 4

Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Os temas abordados nesse capítulo são: Conversão de expressões lógicas para expressões de soma-de-produtos. Projetos de circuitos lógicos simples. Álgebra booleana

Leia mais

SISTEMAS DIGITAIS. Módulo 6 Prof. Celso DRIVER:

SISTEMAS DIGITAIS. Módulo 6 Prof. Celso DRIVER: DRIVER: 1 Todo circuito que tem por finalidade aumentar a potência de um sinal elétrico diminuindo sua impedância de saída ou aumentando a tensão. Pode ser construído com transistores. BUFFER: Usado quando

Leia mais

Noções elementares de electrónica digital. Electrónica digital e electrónica analógica. Principais famílias lógicas. Marcos tecnológicos.

Noções elementares de electrónica digital. Electrónica digital e electrónica analógica. Principais famílias lógicas. Marcos tecnológicos. Noções elementares de electrónica digital Organização: Electrónica digital e electrónica analógica Principais famílias lógicas ndares de saída e andares de entrada olhas de características dos componentes

Leia mais

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Aula Anterior Na aula anterior: Álgebra de Boole Operações básicas Propriedades Portas Lógicas Leis de DeMorgan Simplificação algébrica 2

Leia mais

Portas Lógicas Básicas: Parte 1 - Montagem e Medidas

Portas Lógicas Básicas: Parte 1 - Montagem e Medidas CETEC - PUC Campinas Laboratório 1 Portas Lógicas ásicas: Parte 1 - Montagem e Medidas 1. Introdução Os circuitos lógicos, responsáveis pelo aparecimento da Eletrônica Digital entre 1930 e 1940, tiveram

Leia mais

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA

SISTEMAS DIGITAIS ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA ELEMENTOS DE TECNOLOGIA - 2 SUMÁRIO: CIRCUITOS INTEGRADOS TECNOLOGIAS COMPONENTES TTL NÍVEIS LÓGICOS FAN-OUT E FAN-IN LÓGICA POSITIVA ELEMENTOS DE TECNOLOGIA - 3 CIRCUITOS INTEGRADOS

Leia mais

CIRCUITOS COMBINATÓRIOS BÁSICOS

CIRCUITOS COMBINATÓRIOS BÁSICOS CIRCUITOS COMBINATÓRIOS BÁSICOS T.1 - INTRODUÇÃO A velocidade de um sistema digital depende da velocidade com que operam as portas. A velocidade de uma porta depende do atraso de propagação t pd (propagation-delay

Leia mais

3. CAPÍTULO LÓGICAS DIGITAIS

3. CAPÍTULO LÓGICAS DIGITAIS 3. CAPÍTULO LÓGICAS DIGITAIS 3.1. Introdução A Lógica é um conjunto de regras para raciocínio sobre um determinado assunto, ela é muito utilizada no ramo da Filosofia e da Matemática. 3.2. Portas lógicas

Leia mais

Departamento de Física da Faculdade de Ciências da Universidade de Lisboa. Física Experimental (Engenharia Informática) 1º Semestre

Departamento de Física da Faculdade de Ciências da Universidade de Lisboa. Física Experimental (Engenharia Informática) 1º Semestre Trabalho 5 - Introdução aos circuitos digitais e estudo de portas lógicas 1 Objectivo: Praticar a utilização de equipamento de medição de grandezas eléctricas; proporcionar o contacto com o funcionamento

Leia mais

Tecnologias de Circuitos Integrados MOS-CMOS. Manoel Eusebio de Lima Greco-CIn-UFPE

Tecnologias de Circuitos Integrados MOS-CMOS. Manoel Eusebio de Lima Greco-CIn-UFPE Tecnologias de Circuitos Integrados MOS-CMOS Manoel Eusebio de Lima Greco-CIn-UFPE Tecnologias de Circuitos Integrados! MOSFET (Metal Oxide Silicon Field Effect Field) nmos (N-type MOS) pmos (P-type MOS)

Leia mais

Departamento de Engenharia Elétrica ELE Circuitos Digitais I Experimento: Portas Lógicas

Departamento de Engenharia Elétrica ELE Circuitos Digitais I Experimento: Portas Lógicas 1 Objetivos Departamento de Engenharia Elétrica ELE 1065 - Circuitos Digitais I Experimento: Portas Lógicas Nesta aula será feita uma introdução à instrumentação básica do laboratório e serão realizados

Leia mais

ELETRÔNICA DIGITAL. Parte 4 Funções Lógicas - Circuitos Integrados. Professor Dr. Michael Klug. 1 Prof. Michael

ELETRÔNICA DIGITAL. Parte 4 Funções Lógicas - Circuitos Integrados. Professor Dr. Michael Klug. 1 Prof. Michael ELETRÔNICA DIGITAL Parte 4 Funções Lógicas - Circuitos Integrados Professor Dr. Michael Klug 1 2 ALGEBRA BOOLEANA George Boole (1854): Uma investigação das Leis do Pensamento modo como tomamos decisões

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

13 CIRCUITOS DIGITAIS MOS

13 CIRCUITOS DIGITAIS MOS 13 CIRCUITOS DIGITAIS MOS 13.1. CONCEITOS BÁSICOS 13.1.1. Tecnologias de CIs Digitais e Famílias de Circuitos Lógicos Cada família é fabricada com uma mesma tecnologia, possui a mesma estrutura e oferece

Leia mais

6. Análise Lógica Combinacional

6. Análise Lógica Combinacional Objetivos 6. Análise Lógica Combinacional Analisar circuitos lógicos combinacionais básicos, tais como AND-OR, AND-OR-inversor, EX-OR e EX- NOR Usar circuitos AND-OR e AND-OR-inversor para implementar

Leia mais

Definição. Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada.

Definição. Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada. Portas Lógicas Definição Elemento com uma ou mais entradas que produz um sinal de saída, função dos valores presentes na entrada. sinal de saída e o sinal de entrada tem a mesma característica eléctrica

Leia mais

Licenciatura em Engenharia Informática e de Computação. Electrónica Digital (2000/2001) CORRECÇÃO

Licenciatura em Engenharia Informática e de Computação. Electrónica Digital (2000/2001) CORRECÇÃO Licenciatura em Engenharia Informática e de omputação Electrónica igital (2/2) ª chamada - 6/Janeiro/2 ORREÇÃO uração: 2 horas, sem consulta. ntes de começar, tenha em atenção as seguintes recomendações:

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

Circuitos Digitais. Conteúdo. Expressão de Saída. Produtos Canônicos. Soma de Produtos. Circuitos Lógicos Combinacionais. Simplificação de Circuitos

Circuitos Digitais. Conteúdo. Expressão de Saída. Produtos Canônicos. Soma de Produtos. Circuitos Lógicos Combinacionais. Simplificação de Circuitos Ciência da Computação Simplificação de Circuitos Prof. Sergio Ribeiro Material adaptado das aulas de I do Prof. José Maria da UFPI Conteúdo Circuitos Lógicos Combinacionais Produtos Canônicos Forma de

Leia mais

(a) (b) (c) (d) =? 2. (a) (c) (b) (d) (a) (c) (b) (d) (a) 5BA4 16 (c) 7DC6 16

(a) (b) (c) (d) =? 2. (a) (c) (b) (d) (a) (c) (b) (d) (a) 5BA4 16 (c) 7DC6 16 Exercícios Lista Universidade Tecnológica Federal do Paraná - UTFPR Departamento Acadêmico de Eletrônica DAELN Disciplina: EL66J - Eln Ind. Prof. Gustavo B. Borba Exercícios Lista Pré-requisitos Preencha

Leia mais

Cada fabricante disponibiliza as especificações técnicas e funcionais dos CI s ( data sheets / data book ).

Cada fabricante disponibiliza as especificações técnicas e funcionais dos CI s ( data sheets / data book ). ESTV-ESI-Sistemas Digitais-Circuitos Integrados 1/16 Circuitos Integrados Um pouco de história... Existem varias maneiras de projectar dispositivos electrónicos lógicos. No anos 30, os laboratórios da

Leia mais

Capítulo IV - Famílias Lógicas

Capítulo IV - Famílias Lógicas Capítulo IV - Famílias Lógicas 1 Introdução Nos capítulos anteriores estudamos circuitos digitais sob o ponto de vista das funções lógicas por eles implementadas. Neste capítulo estudaremos circuitos digitais

Leia mais

FAMÍLIAS LÓGICAS. Terminologia dos Circuitos Integrados

FAMÍLIAS LÓGICAS. Terminologia dos Circuitos Integrados FAMÍLIAS LÓGICAS Introdução O desenvolvimento da tecnologia dos circuitos integrados, possibilitando a colocação num único invólucro de diversos componentes já interligados, veio permitir um desenvolvimento

Leia mais

CARACTERIZAÇÃO DE PORTAS LÓGICAS

CARACTERIZAÇÃO DE PORTAS LÓGICAS CARACTERIZAÇÃO DE PORTAS LÓGICAS Versão 2012 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais

Capítulo 8. Famílias Lógicas e Circuitos Integrados Pearson Prentice Hall. Todos os direitos reservados. slide 1

Capítulo 8. Famílias Lógicas e Circuitos Integrados Pearson Prentice Hall. Todos os direitos reservados. slide 1 Capítulo 8 Famílias Lógicas e Circuitos Integrados slide 1 Temas abordados nesse capítulo são: Terminologia de CI Digital em tabelas de dados do fabricante. Características de várias séries TTL. Características

Leia mais

SISTEMAS DIGITAIS CIRCUITOS FAMÍLIA LOGICAS E CIRCUITOS INTEGRADOS. Professor Carlos Muniz

SISTEMAS DIGITAIS CIRCUITOS FAMÍLIA LOGICAS E CIRCUITOS INTEGRADOS. Professor Carlos Muniz CIRCUITOS FAMÍLIA LOGICAS E CIRCUITOS Professor Carlos Muniz Introdução O desenvolvimento da tecnologia dos circuitos integrados, possibilitando a colocação num único invólucro de diversos componentes

Leia mais

Revisão: família lógica TTL

Revisão: família lógica TTL UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA ELETRÔNICA DIGITAL - ET75C Prof.ª Elisabete Nakoneczny Moraes Aula 3- TÉCNICAS DE SIMPLIFICAÇÃO Soma dos Produtos, Produto

Leia mais

Sistemas Digitais Módulo 4 Álgebra Booleana e Circuitos Lógicos

Sistemas Digitais Módulo 4 Álgebra Booleana e Circuitos Lógicos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 4 Álgebra Booleana e Circuitos Lógicos Graduação em Sistemas de Informação Prof. Dr. Daniel A. Furtado Conteúdo Introdução

Leia mais

Instituto Federal de Educação, Ciência e Tecnologia de SC

Instituto Federal de Educação, Ciência e Tecnologia de SC Instituto Federal de Educação, Ciência e Tecnologia de SC FAMÍLIA DE CIRCUITOS LÓGICOS Profa. Fernanda Argoud Fev., 2013 Componentes Eletrônicos Até 1955: diodos e válvulas Válvulas: grandes, alto consumo

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

SEL0384 Laboratório de Sistemas Digitais I

SEL0384 Laboratório de Sistemas Digitais I Escola de Engenharia de São Carlos Departamento de Engenharia Elétrica e de Computação SEL0384 Laboratório de Sistemas Digitais I Profa. Luiza Maria Romeiro Codá Sistemas Digitais: Introdução informações

Leia mais

OBJETIVOS MATERIAL UTILIZADO

OBJETIVOS MATERIAL UTILIZADO OBJETIVOS Esta aula prática tem como objetivo apresentar aos alunos as portas lógicas TTL (Transistor-Transistor Logic). Através de montagens eletrônicas simples no protoboard, deverão ser verificados

Leia mais

Sistemas Digitais (1999/2000)

Sistemas Digitais (1999/2000) Sistemas Digitais, recurso - 26/Jul/2000 (Prova B) Página 1/6 Universidade do Porto Faculdade de Engenharia Sistemas Digitais (1999/2000) Recurso - 26/Julho/2000 Duração: 2h 30m, sem consulta. Antes de

Leia mais

PORTAS LÓGICAS E TEOREMAS DE "DE MORGAN"

PORTAS LÓGICAS E TEOREMAS DE DE MORGAN Roteiro Laboratorial Nº 1 PORTAS LÓGICAS E TEOREMAS DE "DE MORGAN" BARROS, E. C. 1, NASCIMENTO, L. A. F. 1, MOURA, A. F. L. 1, Ciro J. Egoavil 2 1 Monitores da disciplina de Eletrônica I - DAEE, Fundação

Leia mais

Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector. » TTL: Transistor Transistor Logic» ECL: Emmiter Coupled Logic

Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector. » TTL: Transistor Transistor Logic» ECL: Emmiter Coupled Logic Circuitos Integrados Características Principais: Tecnologia: CMOS, TTL, etc Velocidade Tensão, Margem de Ruído e Corrente Fan Out (capacidade de acionamento) Tipos de Portas: buffer, 3-state, opencollector

Leia mais

FAMÍLIAS DE CIRCUITOS LÓGICOS

FAMÍLIAS DE CIRCUITOS LÓGICOS FAMÍLIAS DE CIRCUITOS LÓGICOS Famílias lógicas consistem de um conjunto de circuitos integrados implementados para cobrir um determinado grupo de funções lógicas que possuem características de fabricação

Leia mais

Inversor CMOS: operação do circuito, características de transferência de tensão (p )

Inversor CMOS: operação do circuito, características de transferência de tensão (p ) PSI3322 - ELETRÔNICA II Prof. João Antonio Martino AULA 2-27 Inversor CMOS: operação do circuito, características de transferência de tensão (p. 29-22) Transistor NMOS Fonte (S-Source) Porta (G-Gate) Dreno

Leia mais

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto

CIRCUITOS INTEGRADOS. Professor Adão de Melo Neto CIRCUITOS INTEGRADOS Professor Adão de Melo Neto R = RESISTÊNCIA É A OPOSIÇÃO A CIRCULAÇÃO DA CORRENTE GERADA POR UMA TENSÃO OU DIFERENÇA DE POTENCIAL (medido em ohms) I = CORRENTE FLUXO DE ELÉTRONS DO

Leia mais

O Mundo Real é Analógico ou Digital?

O Mundo Real é Analógico ou Digital? Analógico / Digital O Mundo Real é Analógico ou Digital? O que define uma grandeza analógica? Os sinais analógicos podem tomar qualquer valor num intervalo contínuo de tensão, corrente, resistência ou

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Hardware Reconfigurável

Hardware Reconfigurável Universidade Federal do Rio Grande do Norte Departamento de Engenharia de Computação e Automação Hardware Reconfigurável DCA0119 Sistemas Digitais Heitor Medeiros Florencio Tópicos Alternativas de projeto

Leia mais

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas

Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Sistemas Digitais (SD) Elementos de Tecnologia Funções Lógicas Aula Anterior Na aula anterior: Álgebra de Boole Operações básicas Propriedades Portas Lógicas Leis de DeMorgan Simplificação algébrica 2

Leia mais

Microeletrônica. Aula 21. Prof. Fernando Massa Fernandes. Sala 5017 E.

Microeletrônica. Aula 21. Prof. Fernando Massa Fernandes. Sala 5017 E. Microeletrônica Aula 21 Prof. Fernando Massa Fernandes Sala 5017 E fernando.fernandes@uerj.br https://www.fermassa.com/microeletronica.php http://www.lee.eng.uerj.br/~germano/microeletronica_2016-2.html

Leia mais

PORTAS NOR INTRODUÇÃO TEÓRICA

PORTAS NOR INTRODUÇÃO TEÓRICA PORTAS NOR OBJETIVOS: a) Verificar experimentalmente o funcionamento de uma porta NOR; b) Usar uma porta NOR como um inversor lógico; c) Demonstrar porque uma porta NOR é uma porta lógica universal; d)

Leia mais

Caracterização de Portas Lógicas

Caracterização de Portas Lógicas Caracterização de Portas Lógicas Versão 2014 RESUMO Esta experiência tem como objetivo um estudo dos elementos básicos do nosso universo de trabalho, ou seja, as portas lógicas. Para isto serão efetuados

Leia mais