Sistemas Digitais Unidade Lógica e Aritmética - ULA

Tamanho: px
Começar a partir da página:

Download "Sistemas Digitais Unidade Lógica e Aritmética - ULA"

Transcrição

1 Sistemas Digitais Unidade Lógica e Aritmética - ULA Referência Bibliográfica: Contemporary Logic Design Katz & Borriello Logic and Computer Design Fundamentals Mano & Kime Embedded System Design Vahid & Givargis Sistemas Digitais Tocci e Widmer Adaptações de josé artur quilici-gonzalez

2 Sumário Introdução Parte (Bit Slice) de uma ALU Genérica Abordagem Alternativa para Projetar ALUs Descrição VHDL de uma ALU ALU 74x8

3 Introdução Uma Unidade Lógica e Aritmética, ou ALU, ou ULA, é uma rede combinacional, que implementa uma função de suas entradas com base em operações lógicas ou aritméticas ALUs constituem o elemento central dos computadores e da maioria dos sistemas digitais Nesta aula, vamos aprender como projetar partes desse sistema Contemporary Logic Design Katz e Borriello

4 S Parte (Bit Slice) de uma ALU Genérica Operações Lógicas e Aritméticas M =, Logical Bitwise Operations S Function Fi = Ai Fi = not Ai Fi = Ai xor Bi Fi = Ai xnor Bi M =, C =, Arithmetic Operations F = A F = not A F = A plus B F = (not A) plus B Comment Input Ai transferred to output Complement of Ai transferred to output Compute OR of Ai, Bi Compute NOR of Ai, Bi Input A passed to output Complement of A passed to output Sum of A and B Sum of B and complement of A S S M M = Modo Lógico M = Modo Aritmético A ALU F B C M =, C =, Arithmetic Operations F = A plus F = (not A) plus F = A plus B plus F = (not A) plus B plus Increment A Twos complement of A Increment sum of A and B B minus A Nem todas operações parecem úteis, mas resultado da lógica interna Contemporary Logic Design Katz e Borriello

5 Minimização Tradicional em Dois Níveis Na abordagem tradicional, a Tabela da Verdade da ALU é colocada num programa chamado SIS e minimizada com o comando espresso O resultado para este exemplo foi 23 SOP (Soma de Produtos) (de dois níveis) Contemporary Logic Design Katz e Borriello M S S Ci Ai Bi Fi Ci+.i 6 (6 entradas).o 2 (2 saídas).ilb m s s ci ai bi.ob fi co.p e SIS Ferramenta para Síntese Lógica (U. de Berkeley) report/main/node.html

6 Minimização Multinível.model alu.espresso.inputs m s s ci ai bi.outputs fi co.names m ci co [3] [33] [35] fi names m ci [3] [33] co names s ai [3].names m s bi [33].names s bi [35] - -.end \S \Bi M S Bi S Ai [35] [33] [3] Ci [33] [3] [33] M Ci [3] 2 Portas Lógicas M Ci \Co Ci [3] [33] \Co [3] [35] \Co \[3] \[35] A implementação multinível produz uma considerável redução no número de portas lógicas utilizadas Co Fi Contemporary Logic Design Katz e Borriello

7 Minimização Multinível Implementação multinível minuciosamente reelaborada para máxima simplificação S = bloqueia Bi Isto ocorre para operações que envolvem apenas Ai S A Bi S Ai M A2 Ci O mesmo se aplica para Ci quando M = A Adição (Ai xor Bi) ocorre quando M = Ci, Bi passam para as ORs 2, 3 2 S =, passa A S =, passa A A3 A4 Modo Aritmético (M=): O 3 O Vai-Um na porta OR (O) é Ai Ci + Bi (Ai xor Ci) Ci + 8 Portas Lógicas (porém 3 OR) Fi Contemporary Logic Design Katz e Borriello Modo Lógico (M=): ORs em cascata formam a saída a partir de Ai e Bi

8 ALU Genérica de n-bits O componente básico de um circuito aritmético é o somador paralelo, que é construído por vários somadores completos de bit ligados em cascata Logic and Computer Design Fundamentals Mano e Kime

9 Diagrama de Blocos do Circuito Aritmético Nesta configuração, as n entradas B do somador paralelo são controladas pelas linhas de seleção S e S A entrada C in (Vem_Um) é colocada na posição Least-Significant-Bit, enquanto que a saída C out (Vai_Um), na posição Most-Significant-Bit Desta forma, a entrada Y do somador pode receber além das entradas B, o complemento destas entradas, um conjunto de s ou s etc. Logic and Computer Design Fundamentals Mano e Kime

10 Tabela de Funções do Circuito Aritmético A tabela acima mostra as possíveis operações aritméticas obtidas com a ajuda das linhas de seleção S e S Se as entradas provenientes de B forem ignoradas, a entrada Y recebe s e a saída G simplesmente reproduz o valor das entradas A, desde que C in = (G = A + + C in ) Se o complemento de B for aplicado em Y, e C in =, obtém-se a subtração aritmética G = A B, ou seja, A é somado com o complemento de 2 de B (G = A + B + ) Logic and Computer Design Fundamentals Mano e Kime

11 Tabela da Verdade do Circuito Aritmético A lógica de entrada de B (B input logic) pode ser obtida com n MUes 4x (,,, ) ou, com menos portas, através de uma simplificação usando Mapas de Karnaugh Colocando-se numa Tabela da Verdade as entradas S, S e B i (um bit de B apenas), tendo como saída Y i, a equação booleana simplificada de Y i pode ser facilmente obtida como uma SOP (Soma de Produtos) de suas entradas Logic and Computer Design Fundamentals Mano e Kime

12 Diagrama Lógico do Circuito Aritmético A figura mostra o diagrama lógico de um circuito aritmético para n = 4 Os quatro somadores completos (FA Full-Adder) constituem o somador paralelo Logic and Computer Design Fundamentals Mano e Kime

13 Um Estágio do Circuito Lógico Microoperações lógicas manipulam os bits dos operandos considerando cada bit em um registrador como uma variável binária, desta forma realizando operações bit a bit A partir das quatro operações lógicas disponíveis nas entradas do MU 4x, é possível obter outras operações lógicas Para um circuito lógico de n bits, este diagrama precisa ser repetido n vezes Logic and Computer Design Fundamentals Mano e Kime

14 Um Estágio da ALU A ALU é construída combinando-se o circuito aritmético com o lógico, sendo as entradas de seleção S e S comum aos dois circuitos, com S 2 decidindo sobre o modo de operação, aritmético (S 2 = ) ou lógico (S 2 = ) Para uma ALU de n bits, este diagrama precisa ser repetido n vezes Logic and Computer Design Fundamentals Mano e Kime

15 Tabela de Funções da ALU A ALU do exemplo oferece oito operações aritméticas e quatro lógicas, selecionadas através de S2, S, S e Cin (durante as operações lógicas, Cin não tem nenhum efeito sobre o resultado, podendo seu valor ser ou ) Logic and Computer Design Fundamentals Mano e Kime

16 VHDL Comportamental de uma ALU library IEEE; use IEEE.STD_LOGIC_64.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU is port ( A : in STD_LOGIC_VECTOR ( downto ); B : in STD_LOGIC_VECTOR ( downto ); S : in STD_LOGIC_VECTOR (2 downto ); F : out STD_LOGIC_VECTOR ( downto )); end ALU; architecture Behavioral of ALU is begin process(a, B, S) begin case S is when "" => F <= ""; when "" => F <= (NOT A) + B + ""; when "" => F <= (NOT B) + A + ""; when "" => F <= A + B; when "" => F <= A OR B; when "" => F <= A OR B; when "" => F <= A AND B; when "" => F <= ""; when others => null; end case; end process; end Behavioral; Uma ALU pode facilmente ser especificada no nível comportamental com a cláusula case expressão is when opções => comando end case ou S2 S S F (NOT A)+B+ (NOT B)+A+ A+B A OR B A OR B A AND B with expressão select nome <= comando when opções Logic and Computer Design Fundamentals Mano e Kime

17 Diagrama Esquemático ilinx da ALU Síntese da ALU especificada sobre a ferramenta ISE da ilinx

18 Exemplo Prático: ALU 74HC8 As entradas e saídas da 74x8 são normalmente ativas em LOW, mas esta ALU pode ser utilizada com suas entradas e saídas ativas em HIGH (fazendo operações complementares)

19 Operandos ativos em HIGH e LOW na 74HC8 A pinagem da 74x8 tanto para o operação com suas entradas e saídas ativas em HIGH ou LOW é a mesma, mas os conjuntos de operações lógicas e aritméticas são distintos As entradas (A 3 -A e B 3 -B ) e as saídas (F 3 -F ) são para quatro bits. Quando M=, são selecionadas através de S 3 -S as operações lógicas, cujos resultados dependem apenas das entradas A i e B i, sendo C n (Vem_Um) e C n+4 (Vai_Um) ignorados Quando M=, são selecionadas as operações aritméticas e o Vem_Um se propaga entre os estágios

20 Diagrama Lógico da ALU 74HC8

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF1 118 Técnicas Digitais para Computação Multiplicador Decodificador e Multiplexador Aula 14 Multiplicador Combinacional Técnicas Digitais A x B 1 B = P 3 P 2 P 1 P A1 A B1 B X 2) Equações em SDP, simplificado

Leia mais

VHDL Circuitos Combinacionais

VHDL Circuitos Combinacionais VHDL Circuitos Combinacionais Neste módulo será feita uma revisão de circuitos combinacionais introduzindose alternativas representações em VHDL Uma descrição VHDL, de forma geral, pode seguir uma das

Leia mais

Projeto de Circuito Combinacional

Projeto de Circuito Combinacional ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Circuito Combinacional Unidade Lógica e Aritmética (ULA) prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 12 ULA Unidade

Leia mais

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos

Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Universidade Federal de Uberlândia Faculdade de Computação Sistemas Digitais Módulo 8 Introdução aos Circuitos Aritméticos Graduação em Sistemas de Informação Disciplina: Sistemas Digitais Prof. Dr. Daniel

Leia mais

Aula 2 Semântica de VHDL

Aula 2 Semântica de VHDL VHDL - VHSIC Hardware Description Language Aula 2 Semântica de VHDL Leonardo Augusto Casillo Ivan Saraiva Silva 2003-2 Identificadores Usados como referência a todos os objetos declarados no código. Regras:

Leia mais

Módulo 4 Introdução ao VHDL

Módulo 4 Introdução ao VHDL 1 Módulo 4 Introdução ao VHDL Conceitos básicos do VHDL Modelação, Simulação e Síntese de Sistemas Digitais entity declara o interface de um componente; architecture descreve a realização de um componente;

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 3-P Descrição de somadores em VHDL, síntese com o Quartus

Leia mais

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer

Índice. 1.2 Sistemas Numéricos em uma Base B Qualquer Índice 1. SISTEMAS NUMÉRICOS 1.1 Caracterização dos Sistemas Numéricos 1.2 Sistemas Numéricos em uma Base B Qualquer 1.2.1 Sistema de Numeração Decimal 1.2.2. Sistema de Numeração Binário 1.2.3 Sistema

Leia mais

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada

VHDL - VHSIC Hardware Description Language. Exemplo prático. Raiz Quadrada VHDL - VHSIC Hardware Description Language Exemplo prático Raiz Quadrada Exemplo de um circuito completo Descrição de um circuito que realiza a raiz quadrada de um número natural; Obtenção de um modelo

Leia mais

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a.

4. Desenhe um digrama esquemático para cada uma das funções abaixo. a. Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Projeto de Somador com e sem Sinal. Qualificadores

Projeto de Somador com e sem Sinal. Qualificadores ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Projeto de Somador com e sem Sinal Qualificadores César A. M. Marcon Planejando a Descrição de um Somador 2 Como descrever uma soma? S

Leia mais

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas.

Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data-Flow): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes. Descrição

Leia mais

Funções de Lógica Combinacional

Funções de Lógica Combinacional Fundamentos de Sistemas Digitais Funções de Lógica Combinacional prof. Dr. Alexandre M. Amory prof. Dr. Edson I. Moreno Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 6. ppt segue

Leia mais

Programa Analítico de Disciplina INF251 Organização de Computadores I

Programa Analítico de Disciplina INF251 Organização de Computadores I 0 Programa Analítico de Disciplina INF51 Organização de Computadores I Departamento de Informática - Centro de Ciências Exatas e Tecnológicas Número de créditos: Teóricas Práticas Total Duração em semanas:

Leia mais

Revisão de Circuitos Digitais

Revisão de Circuitos Digitais Revisão de Circuitos Digitais Adaptações Prof. José Artur Quilici-Gonzalez Elementos de Eletrônica Digital Idoeta e Capuano Embedded System Design Vahid e Givargis Logic and Computer Design undamentals

Leia mais

Projeto com Dispositivos Programáveis

Projeto com Dispositivos Programáveis Projeto com Dispositivos Programáveis E.T.M./2011 (revisão) RESUMO Nesta experiência será apresentada uma metodologia para projeto de sistemas digitais utilizando FPGAs (dispositivos programáveis) e HDLs

Leia mais

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL

VHDL. Descrição e Projeto de Circuitos Utilizando VHDL VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia

Leia mais

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA :

Descreva em VHDL, simule no simulador logico e sintetize usando uma ferramenta de CAD para FPGA : UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMATICA LISTA DE EXERCÍCIOS DE SISTEMAS DIGITAIS Prof. Fernanda Gusmão de Lima Kastensmidt E Marcelo Porto (aluno mestrado PPGC) Descreva em VHDL,

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Curso de Graduação em Ciências da Computação Aula 5-P Processos em VHDL. Comandos de atribuição em VHDL:

Leia mais

Prof. Leonardo Augusto Casillo

Prof. Leonardo Augusto Casillo UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CURSO: CIÊNCIA DA COMPUTAÇÃO Aula 10 Circuitos Aritmeticos Prof. Leonardo Augusto Casillo Somador Binário Funções aritméticas como adição, subtração, podem ser

Leia mais

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs)

Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) Sistemas Digitais Circuitos Aritméticos e Unidades Aritméticas e Lógicas (ALUs) João Paulo Baptista de Carvalho joao.carvalho@inesc-id.pt Circuitos Aritméticos Circuitos aritméticos são aqueles que realizam

Leia mais

*********************

********************* FUNDAMENTOS DE SISTEMAS DIGITAIS - EXERCÍCIOS (Moraes 17/agosto/2018): 1. Converter de decimal para binário e hexadecimal: Valor Binário Binário Hexadecimal 831-110 -74.33 2. Converter de binário para:

Leia mais

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba

Introdução ao VHDL. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva. Original por Ayman Wahba Introdução ao VHDL Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva Original por Ayman Wahba VHDL É uma linguaguem de descrição de hardware, ou seja, uma forma estruturada para a descrição de circuitos

Leia mais

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr.

PCS Sistemas Digitais I. Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state. Prof. Dr. Marcos A. Simplicio Jr. PCS 35 Sistemas Digitais I Circuitos Combinatórios Blocos Básicos: (De)Multiplexadores e Dispositivos tri-state Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/206) Adaptado por Glauber (208) 2 Blocos

Leia mais

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno

Fundamentos de sistemas digitais. Test-bench. prof. Dr. Edson Ifarraguirre Moreno Fundamentos de sistemas digitais Test-bench prof. Dr. Edson Ifarraguirre Moreno 2 / 8 Validação por Simulação Utilizar um circuito de teste: test-bench Contém um circuito gerador de teste e uma instância

Leia mais

Eletrônica Digital Lista de Exercícios

Eletrônica Digital Lista de Exercícios Eletrônica Digital Lista de Exercícios 1. Preencha a tabela abaixo para cada uma das funções indicadas. x 2 x 1 x 0 x 2 x 1 x 2 +x 1 x 2 x 1 x 2 x 1 + x 0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1

Leia mais

Introdução: Objetivos:

Introdução: Objetivos: Escola de Engenharia de São Carlos - USP Departamento de Engenharia Elétrica e de Computação SEL 0412 Tecnologia digital Trabalho nº 2 - Somador Aritmético Completo Introdução: Qualquer sistema de processamento

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 04/outubro/2018 CIRCUITOS COMBINACIONAIS modelagem VHDL profs. Alexandre M. Amory e Fernando G. Moraes 1 (1) (DE)CODIFICADOR Codificador é um circuito que mapeia um conjunto

Leia mais

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos

Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos. Circuitos Aritméticos 1 - ADIÇÃO BINÁRIA Computadores digitais e calculadoras realizam as várias operações aritméticas sobre os números representados em forma binária. Na adição binária apenas quatro situações podem ocorrer

Leia mais

Disciplina de Organização de Computadores I

Disciplina de Organização de Computadores I USP - SSC-6 Eng. Comp. T / 2-2 USP - ICMC - SSC SSC 6 - Eng. Comp. - 2o. Semestre 2 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal: http://www.icmc.usp.br/~fosorio/

Leia mais

low): Descreve o que o sistema deve fazer utilizando expressões lógicas.

low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição por fluxo de dados (Data Data-Flow low): Descreve o que o sistema deve fazer utilizando expressões lógicas. Descrição estrutural: Descreve como é o hardware em termos de interconexão de componentes.

Leia mais

Somadores Binários E.T.M./2005 (revisão)

Somadores Binários E.T.M./2005 (revisão) Somadores Binários E.T.M./2005 (revisão) RESUMO Esta experiência tem por objetivo a familiarização com somadores binários, notadamente os paralelos, que realizam a soma simultânea de todos os bits de dois

Leia mais

PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO PLANO DE ENSINO

PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO PLANO DE ENSINO PONTIFÍCIA UNIVERSIDADE CATÓLICA DE GOIÁS PRÓ-REITORIA DE GRADUAÇÃO DEPARTAMENTO DE COMPUTAÇÃO Disciplina: Sistemas Digitais para Computação PLANO DE ENSINO Curso: Engenharia de Computação/ Ciência da

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica

Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Universidade Federal de Santa Catarina Centro Tecnológico Departamento de Informática e Estatística Ciências da Computação & Engenharia Eletrônica Aula 1-T 1. Projeto de unidade lógico-aritmética (ULA).

Leia mais

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira

Aula 10. Circuitos Aritméticos. SEL Sistemas Digitais. Prof. Dr. Marcelo Andrade da Costa Vieira Aula Circuitos Aritméticos SEL 44 - Sistemas Digitais Prof. Dr. Marcelo Andrade da Costa Vieira Somadores Circuitos Somadores l Circuitos que realizam operações aritméticas com números binários; l Geralmente

Leia mais

Lógica Reconfigurável

Lógica Reconfigurável UNIVERSIDADE TECNOLÓGICA FEDERAL DO PARANÁ DEPARTAMENTO ACADÊMICO DE ELETROTÉCNICA CURSO DE ENGENHARIA INDUSTRIAL ELÉTRICA MESTRADO EM SISTEMAS DE ENERGIA Lógica Reconfigurável - amauriassef@utfpr.edu.br

Leia mais

Divisão de Engenharia Eletrônica Laboratório de ELE-20. Experiência 4: Síntese e Análise de uma Unidade Lógica Aritmética (ULA)

Divisão de Engenharia Eletrônica Laboratório de ELE-20. Experiência 4: Síntese e Análise de uma Unidade Lógica Aritmética (ULA) Versão original: Prof. Duarte Lopes de Oliveira Versão digital : Maj. Fábio Durante Prof. de Laboratório: Prof. Alexis Tinoco Sala 177 r. 6932 atinoco@ita.br Divisão de Engenharia Eletrônica Laboratório

Leia mais

Capítulo 6 Aritmética Digital: Operações e Circuitos

Capítulo 6 Aritmética Digital: Operações e Circuitos Capítulo 6 Aritmética Digital: Operações e Circuitos slide 1 Temas abordados nesse capítulo: - Adição, subtração, multiplicação e divisão de dois binários. - Diferença entre soma binária e soma OR. - Vantagens

Leia mais

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon

ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I. Introdução ao VHDL. César A. M. Marcon ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Introdução ao VHDL César A. M. Marcon VHDL Uma Linguagem de Descrição de Hardware 2 Originou como linguagem para descrever hardware, no contexto do programa

Leia mais

Arquitetura de Computadores. Tiago Alves de Oliveira

Arquitetura de Computadores. Tiago Alves de Oliveira Arquitetura de Computadores Tiago Alves de Oliveira Revisão A B S 0 0 0 Porta Lógica XOR Tabela Verdade XOR 0 1 1 1 0 1 1 1 0 Somadores Os somadores são importantes em computadores e também em outros tipos

Leia mais

Suporte de funcionamento e interacção com o teclado

Suporte de funcionamento e interacção com o teclado Suporte de funcionamento e interacção com o teclado Autor: André Amaral Costa (nº 7578) Curso: Universidade de Aveiro Data: 6 de Dezembro de 006 Disciplina: Docente: Valery Sklyarov Introdução Neste trabalho

Leia mais

Eletrônica Digital I (EDL I)

Eletrônica Digital I (EDL I) Eletrônica Digital I (EDL I) Instituto Federal de Educação, Ciência e Tecnologia de Santa Catarina - Campus São José Prof. Glauco Cardozo glauco.cardozo@ifsc.edu.br Os circuitos lógicos dos sistemas digitais

Leia mais

XOR, Detecção de Erro, Comparadores

XOR, Detecção de Erro, Comparadores XOR, Detecção de Erro, Comparadores -input XOR gates Igual a porta OR, mas exclui o caso em que ambas as entradas são. Empregado em: códigos de verificação de erros comparadores aritmética XOR de múltiplas

Leia mais

Organização e Arquitetura de Computadores I

Organização e Arquitetura de Computadores I Universidade Federal de Campina Grande Centro de Engenharia Elétrica e Informática Unidade Acadêmica de Sistemas e Computação Curso de Bacharelado em Ciência da Computação Organização e Arquitetura de

Leia mais

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO

UFMT. Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO UFMT 1) IDENTIFICAÇÃO: Disciplina: Lógica Matemática e Elementos de Lógica Digital Ministério da Educação UNIVERSIDADE FEDERAL DE MATO GROSSO PRÓ-REITORIA DE ENSINO DE GRADUAÇÃO PLANO DE ENSINO Curso:

Leia mais

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4)

MULTIPLEXADOR E DEMULTIPLEXADOR (Unidade 4) MINISTÉRIO DA EDUCAÇÃO SECRETARIA DE EDUCAÇÃO PROFISSIONAL E TECNOLÓGICA INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE SANTA CATARINA BACHARELADO EM CIÊNCIA DA COMPUTAÇÃO DISCIPLINA: ELETRÔNICA

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Sistemas Digitais EEL 480 Introdução à Linguagem VHDL Luís Henrique M. K. Costa luish@gta.ufrj.br UFRJ DEL/Poli e PEE/COPPE P.O. Box 68504 - CEP 21941-972 - Rio de Janeiro - RJ Brasil - http://www.gta.ufrj.br

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Disciplina de. Organização de Computadores Digitais

Disciplina de. Organização de Computadores Digitais USP - SSC-5 Bach. Sist Info / 24-2 USP - ICMC - SSC SSC 5 - Sist. Informação - 2o. Semestre 24 Disciplina de Prof. Fernando Santos Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Página Pessoal:

Leia mais

Uma Introdução às Linguagens de Descrição de Hardware

Uma Introdução às Linguagens de Descrição de Hardware Uma Introdução às Linguagens de Descrição de Hardware Edson T. Midorikawa (2001) revisado em 03/2007 Este texto tem como objetivo apresentar de forma bem sucinta as Linguagens de Descrição de Hardware,

Leia mais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais

2006, António Esteves, Sistemas Digitais 1, UM-DI. Módulo 6. Sistemas sequenciais Módulo 6 Sistemas sequenciais 2 PA. Controlador de portão automático: enunciado O portão é deslocado por um motor, controlado pelos sinais Ma e Mf. Estes sinais nunca poderão estar activos simultaneamente

Leia mais

3 Circuitos Combinacionais

3 Circuitos Combinacionais 3 Circuitos Combinacionais Os circuitos lógicos dos sistemas digitais podem ser de dois tipos: circuitos combinacionais ou circuitos seqüenciais. Um circuito combinacional é constituído por um conjunto

Leia mais

SISTEMAS DIGITAIS (SD)

SISTEMAS DIGITAIS (SD) SISTEMAS DIGITAIS (SD) MEEC Acetatos das Aulas Teóricas Versão 3.0 - Português Aula N o 12: Título: Sumário: Linguagens de Descrição e Simulação de Circuitos Digitais (apoio ao laboratório) Linguagens

Leia mais

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES

Circuitos Digitais - Somadores e Subtradores SOMADORES E SUBTRADORES SOMADORES E SUBTRADORES SOMADORES 1. Introdução Antes de iniciarmos, vamos relembrar o processo de adição binária: (a) 1 1 1 (b) 1 1 1 1 1 0 1 0 1 1 0 0 1 1 0 + 1 1 1 0 0 + 1 1 1 0 0 1 1 0 0 0 1 1 0 0

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

DADOS DO COMPONENTE CURRICULAR

DADOS DO COMPONENTE CURRICULAR PLANO DE ENSINO DADOS DO COMPONENTE CURRICULAR Nome do Componente Curricular: Sistemas Digitais Curso: Técnico Integrado de Nível Médio em Informática Série/Período: 1º ano Carga Horária: 2 a/s - 80 h/a

Leia mais

Descrição e Projeto de Circuitos Utilizando VHDL

Descrição e Projeto de Circuitos Utilizando VHDL Descrição e Projeto de Circuitos Utilizando VHDL O projeto de circuitos digitais de forma automatizada se tornou prática industrial comum. As principais vantagens são : Portabilidade de tecnologia Melhor

Leia mais

Introdução à Computação

Introdução à Computação Universidade Federal de Campina Grande Departamento de Sistemas e Computação Introdução à Computação Conceitos Básicos de Eletrônica Digital (Parte III) Prof. a Joseana Macêdo Fechine joseana@computacao.ufcg.edu.br

Leia mais

CIRCUITOS COMBINACIONAIS

CIRCUITOS COMBINACIONAIS Fundamentos de Sistemas Digitais 5/abril/29 CIRCUITOS COMBINACIONAIS Circuitos Combinacionais Um circuito combinacional consiste em portas lógicas cujas saídas, em qualquer momento, são determinadas pela

Leia mais

Introdução à Linguagem VHDL

Introdução à Linguagem VHDL Fundamentos de Sistemas Digitais Introdução à Linguagem VHDL prof. Dr. Alexandre M. Amory Referências Sugiro estudarem nesta ordem de preferência: Vahid, Cap 9 Ignorar verilog e SystemC Bem didático! um

Leia mais

Tipos enumerados definem uma lista de valores, e são especialmente úteis na

Tipos enumerados definem uma lista de valores, e são especialmente úteis na Usando a palavra reservada TYPE é possível definir tipos personalizados. Por exemplo, pode-se definir tipos enumerados (Enumerated) e tipos compostos (Array). Tipos enumerados definem uma lista de valores,

Leia mais

2ª Lista de Exercícios

2ª Lista de Exercícios UNIVERSIDADE FEDERAL FLUMINENSE Escola de Engenharia Departamento de Telecomunicações TET00025 - Técnicas Digitais II Prof. João Marcos Meirelles da Silva 2ª Lista de Exercícios Referência para a Lista

Leia mais

Capítulo 4 Circuitos Lógicos Combinacionais

Capítulo 4 Circuitos Lógicos Combinacionais Capítulo 4 Circuitos Lógicos Combinacionais Conteúdo Simplificação de circuitos lógicos algebricamente Projeto circuitos lógicos combinacionais Mapas de Karnaugh Portas OR-exclusiva e NOR-exclusiva Características

Leia mais

Exercícios de Fixação

Exercícios de Fixação Exercícios de Fixação Para entregar em 07/02/2013 Exercício I Implemente o circuito de seis portas lógicas abaixo em VHDL; Crie um projeto com o simulador Modelsim que contenha o par entidade-arquitetura

Leia mais

PROGRAMA DA DISCIPLINA

PROGRAMA DA DISCIPLINA VIGÊNCIA: 2002/1-2019/1 PROGRAMA DA DISCIPLINA DISCIPLINA: CIRCUITOS DIGITAIS CODCRED CARGA HORÁRIA MÓDULO 4452B-04 60 30 EMENTA: Descrições em nível lógico de abstração. Circuitos combinacionais e seqüenciais.

Leia mais

Técnicas Digitais para Computação

Técnicas Digitais para Computação INF 8 Técnicas Digitais para Computação Circuitos Aritméticos Somadores e Subtratores Aula 2 . Meio Somador ou Half-Adder (soma 2 bits) S C S = + = C =. S C S C 2. Somador Completo ou Full-Adder (soma

Leia mais

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva

Registradores. Circuitos Lógicos. DCC-IM/UFRJ Prof. Gabriel P. Silva Registradores Circuitos Lógicos DCC-IM/UFRJ Prof. Gabriel P. Silva 2 Registradores Conjunto de elementos de memória (flip-flops ou latches) utilizados para armazenar n bits. Utilizam um único sinal de

Leia mais

CALCULADORA SIMPLES COM ULA

CALCULADORA SIMPLES COM ULA CALCULADORA SIMPLES COM ULA Versão 2013 RESUMO 1 Esta experiência tem por objetivo a utilização de circuitos integrados de operações lógicas e aritméticas para o desenvolvimento de circuitos que executam

Leia mais

PCS 3115 (PCS2215) Blocos básicos

PCS 3115 (PCS2215) Blocos básicos PCS 3115 (PCS2215) Sistemas Digitais I Circuitos Combinatórios Blocos Básicos Prof. Dr. Marcos A. Simplicio Jr. versão: 3.0 (Jan/2016) Blocos básicos Codificadores e Decodificadores Drivers de Display

Leia mais

Exercícios Referentes à Prova P1

Exercícios Referentes à Prova P1 ORGANIZAÇÃO E ARQUITETURA DE COMPUTADORES I Referentes à Prova P1 prof. Dr. César Augusto M. Marcon prof. Dr. Edson Ifarraguirre Moreno 2 / 11 1. Assinale com V se a sentença estiver correta, caso contrário

Leia mais

Sistemas Digitais Transferências entre Registradores

Sistemas Digitais Transferências entre Registradores Sistemas Digitais Transferências entre Registradores Referência Bibliográfica: Logic and Computer Design Fundamentals Mano & Kime Adaptações: josé artur quilici-gonzalez Sumário Transferências entre Registradores

Leia mais

Xilinx ISE. O projecto

Xilinx ISE. O projecto Xilinx ISE Demonstração do sistema de projecto Especificar um circuito digital em Verilog Simular o funcionamento do circuito Sintetizar o circuito Traduz o código Verilog para um circuito Simplifica o

Leia mais

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA)

Sistemas Digitais. Linguagem Verilog. Monitoria SD Daniel Alexandro/Reniê Delgado/Vanessa Ogg. Editado por (DARA) Sistemas Digitais Linguagem Verilog Monitoria SD 2011.2 Daniel Alexandro/Reniê Delgado/Vanessa Ogg Editado por (DARA) Introdução Verilog é uma linguagem, como VHDL, largamente usada para descrever sistemas

Leia mais

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Lógica Computacional Aplicada. Prof. Dr. Fabian Vargas.

Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia Lógica Computacional Aplicada. Prof. Dr. Fabian Vargas. Índice Operações Aritméticas Básicas 1. Introdução 1.1. Notação em Complemento de 2 1.2. Overflow 2. Operação de Adição 3. Operação de Subtração 4. Operação de Multiplicação 5. Operação de Divisão Álgebra

Leia mais

FPGA & VHDL. Tutorial

FPGA & VHDL. Tutorial FPGA & VHDL Tutorial 2009-2 FPGA FieldProgrammableGateArray Dispositivo lógico contendo uma matriz de: Células lógicas genéricas Configuráveis ( programadas ) para desempenhar uma função simples Chaves

Leia mais

Aula 14: Lógica e circuitos digitais

Aula 14: Lógica e circuitos digitais Aula 14: Lógica e circuitos digitais Circuitos combinacionais circuitos sequenciais Rodrigo Hausen hausen@usp.br 29 de setembro de 2011 http://cuco.pro.br/ach2034 Rodrigo Hausen (hausen@usp.br) Aula 14:

Leia mais

Montagem, testes, depuração e documentação de circuitos digitais

Montagem, testes, depuração e documentação de circuitos digitais Montagem, testes, depuração e documentação de circuitos digitais Versão 2012 RESUMO Esta experiência tem como objetivo um contato inicial com técnicas de montagem, teste e depuração de circuitos digitais.

Leia mais

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno

Fundamentos de Sistemas Digitais. Lógica Sequencial. Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno Fundamentos de Sistemas Digitais Lógica Sequencial Prof. Dr. Alexandre M. Amory Prof. Dr Edson I. Moreno 2 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até 7.4, 9, 10. Não tem

Leia mais

CIRCUITOS SOMADORES = = =

CIRCUITOS SOMADORES = = = IRUITOS SOMADORES Os circuitos digitais que efetuam operações aritméticas devem processar os dados na forma binária, ou seja, devem executar as operações matemáticas com os números binários omo o sistema

Leia mais

Capítulo 3. Álgebra de Bool

Capítulo 3. Álgebra de Bool Capítulo 3 Álgebra de Bool Adaptado dos transparentes das autoras do livro The Essentials of Computer Organization and Architecture Objectivos Compreender a relação entre lógica Booleana e os circuitos

Leia mais

Disciplina de SSC Elementos de Lógica Digital II (Prática)

Disciplina de SSC Elementos de Lógica Digital II (Prática) USP - ICMC - SSC SSC 0113 (Lab ELD II) - 2o. Semestre 2012 Disciplina de SSC0113 - Elementos de Lógica Digital II (Prática) 1 Prof. Fernando Osório Email: fosorio [at] { icmc. usp. br, gmail. com } Estagiário

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

CIRCUITOS SEQUENCIAIS parte 1

CIRCUITOS SEQUENCIAIS parte 1 Fundamentos de Sistemas Digitais 21/outubro/2018 CIRCUITOS SEQUENCIAIS parte 1 profs. Alexandre M. Amory e Fernando G. Moraes 1 Referências Sugiro estudarem nesta ordem de preferência: Floyd, Cap 7 até

Leia mais

PDA de Sistemas Digitais em Circuitos Programáveis

PDA de Sistemas Digitais em Circuitos Programáveis 56 PDA de Sistemas Digitais em Circuitos Programáveis Codificador FF LUTs 4 LUTs 3 CLBs If-Then-Else 0 3 0 2 With -Select 0 3 0 2 Case 0 3 0 2 Porta Lógica 0 3 0 2 Tabela 3.9 Recursos utilizados do FPGAs

Leia mais

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA

Introdução. VHDL: VHSIC Hardware Description Language. Origem: VHSIC: Very High Speed Integrated Circuits. Departamento de Defesa EUA Introdução VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits Origem: Departamento de Defesa EUA desenvolvida entre anos 70 e 80 descrever e modelar circuitos complexos

Leia mais

Eletrônica Digital para Instrumentação

Eletrônica Digital para Instrumentação G4 Eletrônica Digital para Instrumentação Prof. Márcio Portes de Albuquerque (mpa@cbpf.br) Prof. Herman P. Lima Jr (hlima@cbpf.br) Centro Brasileiro de Pesquisas Físicas Ministério da Ciência e Tecnologia

Leia mais

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO.

IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. SISTEMAS DIGITAIS Módulo 06 - Prof. Luís Caldas www.luiscaldas.com.br IMPLEMENTAÇÕES POR EQUAÇÃO DE ESTADOS E DE SAÍDA DOS MODELOS DE MEALY E DE MOORE 1 BIT POR ESTADO. 1.) Introdução: Quando o número

Leia mais

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação

CIRCUITOS DIGITAIS. Circuitos Combinacionais e Técnicas de Simplificação CIRCUITOS DIGITAIS Circuitos Combinacionais e Técnicas de Simplificação Prof. Denis Fantinato Prof. Rodrigo Moreira Bacurau Slides baseados nas aulas do Prof. Rodrigo Moreira Bacurau O que será visto nesta

Leia mais

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO

UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO UNIVERSIDADE FEDERAL DE SANTA CATARINA DEPARTAMENTO DE ENGENHARIA ELÉTRICA PLANO DE ENSINO EEL 5310 SISTEMAS DIGITAIS Créditos: 5, sendo 3 créditos de teoria e 2 créditos laboratório Número de aulas 90

Leia mais

Multiplicador Binário com Sinal

Multiplicador Binário com Sinal Multiplicador Binário com Sinal Edson T. Midorikawa/2010 E.T.M./2012 (revisão) RESUMO Nesta experiência será implementado um circuito para multiplicação binária com sinal. Deve ser aplicada a metodologia

Leia mais

ELETRÔNICA DIGITAL I

ELETRÔNICA DIGITAL I ELETRÔNICA DIGITAL I DE10-LITE Programação Utilizando VHDL Professor Dr. Michael Klug 1 Comparativo Linguagens Linguagem de Programação x HDL Supondo que cada instrução leve 20ns entre 40 e 60ns para conclusão

Leia mais

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples

EPUSP PCS 2011 Laboratório Digital I. Uma ULA Simples Uma ULA Simples Versão 2015 RESUMO Esta experiência tem como objetivo o desenvolvimento do projeto de uma unidade lógica e aritmética simples que realiza quatro operações sobre dados de quatro bits. OBJETIVOS

Leia mais

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições

Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Tópicos Especiais 2 Capítulo 3 Introdução ao VHDL, sintaxe básica, tipo de dados e atribuições Prof. Alan Petrônio Pinheiro - 2011 Introdução VHDL é uma linguagem de descrição de hardware Hardware Description

Leia mais

Introdução a Sistemas Digitais

Introdução a Sistemas Digitais Introdução a istemas Digitais Projeto Parte de Controle e Parte Operativa Descrição em linguagem de hardware RTL Implementação ERIAL x PARALELA Parte Operativa Parte de Controle Descrição a nivel de transferencia

Leia mais

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET

Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET 1 Universidade Federal Fluminense - UFF Centro Tecnológico - CTC Escola de Engenharia - TCE Departamento de Engenharia de Telecomunicações TET Técnicas Digitais A Laboratório nº 3: Somadores. Data do laboratório:

Leia mais

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores

Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Sistemas Digitais (SD) Circuitos combinatórios: somadores, subtractores e comparadores Aula Anterior Na aula anterior: Circuitos combinatórios típicos: Descodificadores Codificadores Multiplexers Demultiplexers

Leia mais